Вы находитесь на странице: 1из 8

Общие принципы работы в HyperLynx

HyperLynx поддерживает следующие модели ИС, большая библиотека с ними уже поставляется
при инсталляции HyperLynx:

 IBIS модели – известная спецификация.

 EBD модели – это расширение IBIS модели: для электрического описания платы.

 MOD модели – это упрощённый формат принадлежащий собственно HyperLynx, базируемый


на параметрах "databook". Обычно MOD модель представляет целое семейство ИС. В случае
если в распоряжении нет модели, а надо хоть какую-то модель задать, можно воспользоваться
библиотекой EASY.MOD, из которой можно выбрать технологично-ориентированную модель.

 PML модели – это расширение MOD моделей: с добавлением паразитных характеристик


корпуса и цоколёвок конкретных корпусов.

 SPICE модели – известная спецификация. HyperLynx может использовать такие модели только
при моделировании через Eldo или HSPICE.

 Touchstone модели – это последовательные модели, используемые для создания моделей


коннекторов и корпусов. В этих файлах могут описываться S-параметры, Y-параметры, Z-
параметры и другие параметры. HyperLynx может использовать такие модели только при
моделировании через Eldo или HSPICE.

Настройка HyperLynx на требуемые библиотеки: надо по команде Options – Directories


подключить Model-library file path, т.е. завести список всех подкаталогов \IBISМodels нашей
сетевой ЦБ, неплохо оставить путь к поставляемой HyperLynx библиотеке, т.е. C:\HyperLynx72\
LIBS. Эта информация сохраняется в инсталяционный файл Bsw.ini, поэтому это однократная
настройка. После такой настройки удобно выполнить (также однократно) команду File – Generate
Model Finder Index, в результате произойдёт сборка всех подключенных путей библиотек в файл
HyperLynxICModels.csv (он создастся в первом пути списка Model-library file path, поэтому
первым путём нельзя задавать путь к нашей сетевой ЦБ), и из-под команды Select –
Component Models/Values (и некоторых других команд) будет удобно пользоваться кнопкой Find
Model.

Моделирование в HyperLynx можно проводить в двух режимах:

 В режиме LineSim, т.е. ещё до создания СхЭ проекта

 В режиме BoardSim, т.е. когда уже готова и оттрассирована плата

Моделирование проекта в HyperLynx в режиме LineSim:

1. По команде New – LineSim File создать новый файл TLN. В результате откроется матрица-
заготовка с набором драйверов/приёмников, длинных линий и пассивных элементов.
2. Щелчком левой кнопкой мыши по элементу, этот элемент становится включённым
(повторным щелчком – снова выключается). Затем щелчком правой кнопкой мыши по этому
элементу открывается окно задания его свойств. Если это пассивный элемент, то задаётся тип
пассивного элемента и его параметры. Если это драйвер/приёмник, то задаётся требуемая
модель (задавать модели для всех включенных драйверов/приёмников можно сразу из одного
окна свойств: выбирая требуемый вывод в разделе Pins, затем дважды щёлкая на нём либо
нажимая на Select).

3. Если это длинная линия, её модель можно задать либо чисто электрически (в окне свойств
включить установку Simple и справа ввести электрические параметры Z0, Delay, R), либо
геометрически по индивидуальному рисунку (включить установку Microstrip, Buried microstrip
или Stripline, и во вкладке Values по индивидуальному рисунку среза слоёв ввести
геометрические параметры), либо геометрически по структуре слоёв, заданной в Stackup Editor
(включить установку Stackup, и во вкладке Values указать конкретный слой), либо задать как
коннектор, кабель или проводник по слою земли (включить установку Connector, Cable или
Wire Over Ground).

4. По команде Edit – Stackup можно с помощью Stackup Editor создать требуемую структуру
слоёв (в случае режима BoardSim, структура слоёв уже будет находиться в файле HYP
реальной платы). По команде Wizards – Stackup Wizard можно проверить, нет ли ошибок в
структуре слоёв.

5. По команде Simulate – Attach Scope Probes назначить тестовые точки для последующего
моделирования (это можно также сделать по кнопке Attach Probes внутри команды Simulate –
Run Scope).

6. По команде Simulate – Run Scope открыть осциллограф. Там задать форму драйвера: в виде
фронта (задать передний или задний фронт) либо в виде осциллятора (задать частоту и рабочий
цикл). Затем нажать на кнопку Start Simulation, в результате появится картинка полученного
сигнала.

7. Если график сигнала получился неудовлетворительным, то можно модифицировать значения


пассивных элементов: как вручную, так и с помощью команды Wizards – Terminator Wizard
заставить систему саму рассчитать требуемое согласование и вставить рассчитанные
параметры.

8. В случае моделирования EMC (электромагнитной совместимости), надо по команде


Simulate – Attach Spectrum Analyzer Probe назначить тестовую точку для последующего
моделирования (это можно также сделать по кнопке Set внутри команды Simulate – Run
Spectrum Analyzer). Тестовую точку можно задать как токовую (и тогда получить зависимость
тока трассы от частоты) либо как антенну (получить картину электромагнитного излучения в
заданной точке). В режиме LineSim имеет смысл задавать тестовую точку только как токовую
(для драйвера), т.к. для анализа излучения требуется информация готовой платы.

9. По команде Simulate – Run Spectrum Analyzer открыть анализатор спектра. Там задать
вертикальное смещение. Затем нажать на кнопку Start Simulation. Сначала анализатор спектра
отработает во временной области, собирая данные, затем выполнит Быстрое Преобразование
Фурье для передачи сигнала тока в частотную область.

10. В случае моделирования перекрёстных помех, задаём например три длинных линии, у
каждой свой драйвер и приёмник. Так представляем три шинных цепи, из которых одна цепь-
жертва, две других её агрессоры. Для двух драйверов модель оставляем типа Output (это будут
драйверы цепей-агрессоров), для третьего драйвера модель делаем типа Stack Low (это будет
драйвер цепи-жертвы). Этим мы запрещаем драйверу цепи-жертвы переключаться из одного
состояния в другое во время моделирования, а требуем статично держаться в низком уровне
(хотя можно было её оставить Output и таким образом разрешить ей переключаться, и тогда он
выступала бы одновременно в роли жертвы и агрессора для других двух цепей).

11. В окне свойств любой из длинных линий, в поле Coupled включаем Stackup, во вкладке Add to
Coupling Region дважды щёлкаем на (New-Coupling). В окне свойств другой длинной линии, в
поле Coupled включаем Stackup, во вкладке Add to Coupling Region дважды щёлкаем на
Coupling0001. В окне свойств третьей длинной линии, в поле Coupled включаем Stackup, во
вкладке Add to Coupling Region дважды щёлкаем на Coupling0001. Таким образом, все три
длинных линии заданы связанными между собой через единую область Coupling0001, и при
касании курсора любой из длинных линий появятся пунктирные линии связывания.

12. В окне свойств любой из длинных линий, во вкладке Edit Coupling Regions можно наглядно
увидеть и редактировать геометрию области Coupling0001. Для уменьшения перекрёстных
помех можно например увеличить расстояние между трассами. Для этого во вкладке Edit
Coupling Regions надо выделить трассу цепи-жертвы (на картинке разреза либо выше в списке
слоёв), затем в разделе Trace-to-trace separation в обоих полях Left и Right ввести нужное
расстояние. Для уменьшения перекрёстных помех можно также уменьшить толщину
диэлектрика в структуре слоёв. Для этого во вкладке Edit Coupling Regions надо нажать на Edit
Stackup, и там во вкладке Basic изменить толщину диэлектрика между требуемыми слоями.

13. По команде Simulate – Attach Scope Probes назначить тестовые точки для моделирования, затем
по команде Simulate – Run Scope открыть осциллограф, в котором задать форму драйвера в
виде фронта сначала переднего (и нажать на кнопку Start Simulation), затем заднего (и нажать
на кнопку Start Simulation). На полученном графике, перекрёстная помеха будет видна как
максимальная амплитуда сигнала на приёмнике цепи-жертвы. Чтобы понять, какие сигналы на
графике относятся к какому фронту драйвера, удобно в области Show манипулировать
установками Previous results и Latest results.

14. В случае моделирования дифференциальных сигналов, используется механизм


перекрёстных помех. Задаём например две длинных линии, у каждой свой драйвер и приёмник,
это дифференциальные сигналы. Для обоих драйверов задаём модель типа LVDS,
соответственно один будет типа Output, другой будет типа Output Inverted. Обе длинные линии
задаём связанными между собой через единую область Coupling0001 (см. выше).

15. В окне свойств любой из длинных линий, во вкладке Edit Coupling Regions в разделе
Impedance в поле (Differential) приведён дифференциальный импеданс, рассчитанный
системой для текущей геометрии области Coupling0001. Для уменьшения дифференциального
импеданса можно например уменьшить расстояние между трассами (см. выше).
Дифференциальный импеданс сразу пересчитается. Для уменьшения дифференциального
импеданса можно также уменьшить толщину диэлектрика в структуре слоёв (см. выше).

16. Подробный текстовый отчёт можно получить, если перейти во вкладку Field Solver и там
нажать на View. Если в поле Propagation mode выбрать Differential(-+) и нажать на Start,
получим наглядную картину полевых линий (линии электрического поля синие, электрические
эквипотенциалы красные).

17. В случае моделирования очень быстродействующих гигагерцовых проектов, т.е. когда


очень быстродействующие потоки данных (гигабит в секунду) проходят по
малоразрядным последовательным каналам данных, можно выполнять моделирование с
потерями (lossy simulation), моделирование встроенным симулятором HSPICE или Eldo,
моделирование с учётом моделей переходных отверстий (via modelling) и моделирование с
показом глазковых диаграмм (eye diagrams). Последние два вида моделирования будут
рассмотрены позже, в описании BoardSim.
18. Потери на платах бывают двух типов: из-за резистивности металла трассы ("скин-эффект") и
из-за природы окружающих диэлектрических слоёв ("диэлектрические потери"). Пусть есть
длинная линия с драйвером и приёмником. По команде Lossy – Enable Lossy Simulations
включаем режим моделирования с потерями. В результате в свойствах длинной линии
добавляется вкладка Loss, где потери для длинной линии удобно смотреть в частотной области.
Видны три кривые: потери из-за "скин-эффекта", диэлектрические потери и суммарные потери.
В этом окне можно курсором увеличивать нужный фрагмент.

19. Если по команде Simulate – Run Scope провести моделирование такой длинной линии с
выключенной и включенной установкой Enable Lossy Simulations, всплеск на приёмнике при
включенной установке будет меньше.

20. Если модели имеются в наличии только в формате SPICE, их можно моделировать из-под
HyperLynx с помощью интегрированной программы HSPICE или Eldo (эти программы должны
стоять отдельно на машине, путь к ним задаётся по команде Options – Preferences – вкладка
Eldo/SPICE). Eldo – это аналоговый симулятор Mentor, и он поставлен на машине вместе с
HyperLynx.

21. Назначаем SPICE модели драйверу и приёмнику. Для этого в окне свойств дважды щёлкаем на
выводе драйвера, выбираем для него SPICE модель и, снова в окне свойств, присваиваем
портам SPICE модели связи цепей: порту Vin присваиваем "Stimulus" (т.к. на этом порту
модель ожидает стимулы), порту Vout присваиваем например U(A0) (т.к. этот порт должен
быть подсоединён к реальному выходному выводу на схеме), портам Vcc и Gnd система могла
уже сама присвоить Vcc и Gnd. Аналогично выбираем SPICE модель для вывода приёмника
(порту Vin присваиваем реальный входной вывод на схеме, например U(B0)).

22. По команде Simulate – Attach Scope Probes назначить тестовые точки для моделирования, затем
по команде Simulate – Run Scope открыть осциллограф, в котором вместо установки HyperLynx
задать установку HSPICE или Eldo (в зависимости от того, какой из них активизирован в
команде Options – Preferences – вкладка Eldo/SPICE). Затем нажать на кнопку Start Simulation
(если бы нажали с установкой HyperLynx, система сама распознала бы наличие SPICE моделей
и предложила переключиться на HSPICE или Eldo). В результате произойдёт моделирование с
помощью симулятора HSIPCE или Eldo, по окончании результаты моделирования появятся как
обычно в виде графика.

Моделирование печатных плат в LineSim


Как уже говорилось в предыдущей статье, программа LineSim предназначенна для
предварительного моделирования печатных плат. Сам процесс моделирования выглядит
примерно следующим образом:

 Определяемся какой именно сигнал мы собираемся моделировать ( частота, источник


сигнала и потребители).
 Скачиваем с сайта производителя IBIS файлы на эти микросхемы.
 Запускаем программу LineSim в режиме Free Form.
 Настраиваем всевозможные опции (если Вы понимаете зачем они нужны, я почти все время
пользовался настройками по умолчанию), согласно информации в книге ( ссылка была в
статье моделирование печатных плат).
 Подключаем IBIS модели с помощью команды Options/Directories.
 С помощью команды Edit Stackup редактируем список слоев печатной платы. Здесь Вы
можете указать количество слоев, материал диэлектрика, точнее величину диэлектрической
проницаемости, а также толщины материалов каждого слоя ( при моделировании
высокочастотных сигналов не забудьте указать эти данные для избежания неверных
результатов).
 Выполнив команду Add IC to Schematic - устанавливаете на рабочее поле приемный и
передающий пины, а затем выбираете их. К примеру, если Вам надо проверить при какой
толщине проводника сигнал от одного Cyclone 3 ( 80-серия) будет доходить до другого
точно такого же Cyclone на частоте 200МГц и расстоянии между ними примерно 40 мм. Вы
передающему порту в свойствах присоединяете необходимый IBIS файл, выбираете InOut
вывод и назначаете ему свойство Output. Точно также для Input пина.
 Далее с помощью команд Add trasmission line to Schematic и Add via to Schematic
устанавливаете линии связи и примерное количество переходных отверстий ( к примеру,
если используются BGA корпуса, установленные на одной стороне печатной платы, то
переходных отверстий будет 2).
 С помощью команды Run Interactive Simulation запускаете цифровой осцилограф и
проводите измерения. Данный осцилограф может работать в двух режимах: обычное
моделирование и симуляция в режиме Eye Diagram ( во втором режиме пользователь
устанавливает уровни, ограничивающие сигнал, что очень удобно при предварительном
анализе, так как позволяет избежать проблем с повышающим и понижающим выбросами, а
следовательно и звоном в сигнале).
 Чередуя процесс симулирования сигнала на осцилографе с процессом подбора длины и
ширины линий проводников, а также расстояний между соседними проводниками, Вы в
итоге получите наиболее подходящие параметры печатного проводника на плате. Далее
геометрические размеры проводника можно либо заложить в ограничения
автотрассировщика, либо стараться их выдерживать вручную. В любом случае после
окончания разработки печатной платы необходимо провести пост моделирование в
программе Board Sim. О том как это сделать я расскажу в следующей статье.

Моделирование проекта в HyperLynx в режиме BoardSim:

1. В ExpeditionPCB для проекта выполнить команду Analysis – Export to HyperLynx. В результате


в подкаталоге \PCB\OUTPUT создадутся файлы HYP и REF. Файл HYP – это входной файл
HyperLynx режима BoardSim. Файл REF – список всех RefDes с IBIS моделью для каждой ИС и
номиналом для каждого резистора/конденсатора, этот файл обеспечит автоматическое
присвоение нужных моделей выбранной цепи (см. ниже). Далее автоматически открывается
HyperLynx в режиме BoardSim. Система может ругнуться на неоттрассированные цепи (даже
хотя все цепи оттрассированы), но это похоже цепи (Net0). Система также обязательно
проверит наличие хотя бы одного слоя Plane. Последующая работа идёт уже в BoardSim.

2. По команде Wizards – Stackup Wizard убедиться, что в структуре слоёв нет ошибок. Иначе по
команде Edit – Stackup внести соответствующие модификации.

3. По команде Options – Reference Designator Mappings убедиться, что все префиксы RefDes для
типов компонентов выставлены требуемым образом.

4. По команде Edit – Power Supplies убедиться, что все земли и питания в проекте опознаны как
земли и питания. Если нет, там модифицировать.

5. С помощью команды Select – Net by Name или Select – Net by Reference Designator выбрать
цепь, которую требуется промоделировать. В результате окажутся выбранными также
ассоциированные с ней цепи, например цепь через резистор/конденсатор или
дифференциальная цепь. Чтобы вывести на экран снова все цепи, надо в команде View –
Options включить установку All Nets. По команде Reports – Net Statistics можно посмотреть
информацию по выбранной цепи, в том числе узнать, есть ли у неё ассоциированные цепи
(цепь через резистор/конденсатор, либо цепи-агрессоры, либо дифференциальная ей цепь).
6. По команде Select – Component Models/Values задать для точек цепи соответствующие IBIS
модели и номиналы резисторов/конденсаторов (если они есть на цепи). Вообще, всё это
должно подтянуться из файла REF.

Кроме файла REF, для автоматического присвоения моделей служит также файл QPL. В нём
модель присваивается не к RefDes, а к PartName. Это файл удобен для корпоративного
заведения моделей, он подключается по команде Options – Directories, где таких файлов можно
подключить несколько. Для редактирования файлов REF и QPL есть редакторы, вызываемые по
команде Edit – REF IC Automapping File и Edit – QPL IC Automapping File соответственно.

7. В этой же команде Select – Component Models/Values удобно изменять номиналы


резисторов/конденсаторов, если требуется проследить изменение формы сигнала при разных
номиналах.

8. По команде Simulate – Attach Scope Probes назначить тестовые точки для последующего
моделирования (это можно также сделать по кнопке Attach Probes внутри команды Simulate –
Run Scope).

9. По команде Simulate – Run Scope открыть осциллограф. Там задать форму драйвера: в виде
фронта (задать передний или задний фронт) либо в виде осциллятора (задать частоту и рабочий
цикл). Затем нажать на кнопку Start Simulation, в результате появится картинка полученного
сигнала.

10. С помощью команды Edit – Trace Widths можно "на лету" изменять ширину трасс, с помощью
команды Select – Quick Terminator можно "на лету" в выбранную цепь вставлять согласование.
С помощью команды Wizards – Terminator Wizard можно заставить систему саму рассчитать
согласование для выбранной цепи, и тогда она сама вставит Quick Terminator. Все эти
изменения "на лету" почему-то не сохраняются в отчёты: надо самому помнить, какая картинка
моделирования получена в результате каких изменений "на лету".

11. В случае моделирования перекрёстных помех, надо по команде Crosstalk – Enable Crosstalk
Simulation включить этот режим. В результате, если была выбрана некоторая цепь, подсветятся
также её цепи-агрессоры, согласно установленному в команде Crosstalk – Set Crosstalk
Thresholds порогу перекрёстных помех. Затем по команде Crosstalk – Set Crosstalk Thresholds
задать требуемый порог перекрёстных помех: либо в электрическом виде (задать число в
милливольтах), либо в геометрическом виде (задать правила параллелизма), рекомендуется
первое. В этой же команде по кнопке Change Default IC Model можно задать характеристики
для модели ИС по-умолчанию, которые будут учитываться в случае, если цепи не присвоена
IBIS модель. Далее по команде Select – Component Models/Values проверить присвоенные
модели, причём для цепи-жертвы лучше задать непереключающийся драйвер (Stuck High или
Stuck Low). Далее как при обычном моделировании. Таким образом, если например для цепи-
жертвы задать Stuck Low, а в осциллографе задать сигнал драйвера (т.е. для цепи-агрессора) в
виде переднего фронта, то peak crosstalk будет равен максимальной амплитуде сигнала на
приёмнике цепи-жертвы (на рисунке примерно 1.0 В). Это можно также прочитать по команде
Reports – Net Statistics. По команде Crosstalk – Walk Coupling Regions можно с помощью
кнопок Back и Next наглядно пройтись по всем сегментам трасс цепи-жертвы и цепей-
агрессоров и увидеть в разрезе перекрёстные помехи каждого такого сегмента. Поскольку на
выявление перекрёстных помех влияет также скорость нарастания выходного сигнала (slew
rate) драйвера цепи-агрессора, то если например по команде Select – Component Models/Values
для драйвера уже выявленной цепи-агрессора её модель с FAST поменять на SLOW, то на
плате такая цепь исчезнет как цепь-агрессор, т.к. с моделью SLOW уже не разовьётся заданный
в команде Crosstalk – Set Crosstalk Thresholds порог перекрёстных помех.

В результате, можно не только выявить, но и уменьшить перекрёстные помехи. Например,


изменить драйвер с FAST на SLOW, изменить board stackup, добавить согласование.
12. Можно моделировать линии передачи с потерями (lossy), для повышения точности
моделирования для цепей, которые управляются микросхемами с очень быстрыми фронтами, и
для цепей, у которых очень длинные или очень узкие трассы. Для этого надо по команде Edit –
Stackup задать два параметра потерь: резистивность металла (metal resistivity) для всех
сигнальных и металлизированных слоёв, и тангенс угла диэлектрических потерь (loss tangent)
для всех диэлектрических слоёв. Затем по команде Lossy – Enable Lossy Simulations включить
режим моделирования с потерями.

13. В случае моделирования очень быстродействующих (гигагерцовых) проектов, удобно


получать график не в виде колебаний определённой частоты или переднего/заднего фронта, а в
виде глазковой диаграммы, т.е. когда большое число битовых перепадов накладывается друг на
друга. Например, выполняем моделирование дифференциальной пары. Выбираем одну из
цепей, в результате выбирается также и другая. По команде Simulate – Attach Scope Probes
назначаем тестовые точки на приёмниках обоих цепей. По команде Simulate – Run Scope
открываем осциллограф. Там задаём установку Eye Diagram и нажимаем на кнопку Configure.
Там выбираем например псевдослучайную битовую последовательность (PRBS), Bit Order
задаём например 6 (в результате длина последовательности будет 26 – 1, после чего
последовательность повторяется), задаём начальное состояние, с которого должна начинаться
последовательность (High или Low), задаём временной интервал каждого бита в
последовательности (Bit Interval), задаём количество глаз для вывода на график, оцениваем
внутреннее дрожание (пусть 1% от битового интервала и в виде гаусового распределения).
Вместо предопределённой битовой последовательности (PRBS была одна из них) можно задать
свою (<Custom>) и прямо в окне её заводить (мышью задавая перепады или в строке под
перепадами вводя с клавиатуры нули и единицы). По окончании по кнопке Start Simulation
генерируем глазковую диаграмму.

14. В случае моделирования гигагерцовых проектов, на задержку цепи сильно влияют


электромагнитные свойства переходных отверстий на ней. В этом случае можно проводить
моделирование как без учёта via, так и с учётом via. Если без учёта via, перед моделированием
надо в команде Edit – Via Modeling выключить установку Include via L and C, в результате
сигнал на приёмниках цепи будет с большей задержкой и большими искажениями. Если с
учётом via, перед моделированием надо в команде Edit – Via Modeling включить установку
Include via L and C и задать одну из трёх установок задания модели для via: Auto-calculate
(автоматический расчёт индуктивности и ёмкости каждой via), User-supplied global L and C
(задаются глобальные значения индуктивности и ёмкости, действующие для всех via) или
User-supplied padstack-specific L and C (в таблице можно индивидуально для требуемого
падстека via отключить Auto и задать свою индуктивность и ёмкость, для нетребуемых
падстеков оставить включённой Auto), в результате сигнал на приёмниках цепи будет с
меньшей задержкой и меньшими искажениями.

15. C помощью команды Wizards – Board Wizard можно в пакетном режиме провести анализ сразу
всей платы: как быстрый анализ, так и подробный. Например для быстрого анализа, включив
только установку Show crosstalk stength estimates и задав порог перекрёстной помехи, можно
получить список всех цепей, для которых есть цепи-агрессоры с заданной перекрёстной
помехой. Или для подробного анализа, включив только установку Run SI and crosstalk
simulations on selected nets и на следующем шаге по кнопке Nets Spreadsheet выбрав требуемые
цепи, можно получить подробную информацию по перекрёстным помехам для выбранных
цепей (если бы цепь была одна, конечно было бы удобнее провести интерактивный анализ в
графическом виде). Результаты сохранятся в текстовый файл.

16. C помощью команды Reports – Design Change Summary можно сгенерировать отчёт о
проведённых изменениях в проекте, который затем передаётся разработчику СхЭ или
разработчику PCB.

17. Благодаря командам Edit – Unroute Routed Nets и Edit – Connect Nets with Manhattan Routing,
можно проводить анализ неоттрассированной платы, т.е. сразу после размещения компонентов.
Если на этом этапе будут выявлены ошибки целостности сигналов, можно переразместить
компоненты, а не тратить время на трассировку.

18. В случае моделирования проекта, состоящего из нескольких плат, каждая плата может
быть представлена либо в виде файла HYP, либо в виде файла EBD. Лучше в виде файла HYP,
т.к. в нём записана полная физическая информация о плате, и такая плата может быть наглядно
открыта в BoardSim. Но если плата создана не самим разработчиком, а поступила со стороны
(например, модуль памяти), придётся довольствоваться файлом EBD, который является
разновидностью спецификации IBIS и представляет чисто электрическую информацию о
плате, и кроме того не содержит информации о coupling. Для проекта, состоящего из
нескольких плат, как и для обычной платы, можно выполнять не только интерактивный анализ
выбранной цепи, но и пакетный анализ с помощью Board Wizard.

Создать проект, который будет состоять из нескольких плат, представленных в файлах HYP,
можно по команде Project – New Multiboard Project. На первом шаге Multiboard Project Wizard
задаётся новое имя проекта, на втором шаге задаются платы в виде файлов HYP, на третьем
шаге задаётся соответствие между коннекторами плат, на четвёртом шаге для каждого
соответствия задаются электрические характеристики. Отредактировать готовый проект можно
по команде Project – Edit Multiboard Project, с помощью того же Multiboard Project Wizard.
Открыть проект, состоящий из нескольких плат, можно по команде Project – Open Multiboard
Project. В результате на экран BoardSim выведутся сразу все платы проекта. Далее как при
обычном моделировании цепи: выбирается цепь (в диалоге появляется дополнительное поле
Design File), в результате на экране эта цепь окажется выбранной на всех платах через
коннекторы, затем задаются тестовые точки (в диалоге появляется дополнительное поле Design
File) и выполняется моделирование цепи.

Если проект состоит из нескольких плат, представленных в файлах EBD, то такие файлы
трактуются как IC Models. EBD model присваивается аналогично IBIS model (например, к
RefDes).

Вам также может понравиться