Вы находитесь на странице: 1из 706

П. Хоровиц, У.

Хилл

ИСКУССТВО
СХЕМОТЕХНИКИ

БИНОМ
ИСКУССТВО
СХЕМОТЕХНИКИ
THE ART OF ELECTRONICS
Second Edition

Paul Horowitz Harvard University


Winfield Hill Rowland Institute for Science, Cambridge, Massachusetts

CAMBRIDGE UNIVERSITY PRESS


Cambridge
New York Port Chester Melbourne Sydney
П. Хоровиц, У. Хилл

ИСКУССТВО
СХЕМОТЕХНИКИ
Издание седьмое

ПЕРЕВОД С АНГЛИЙСКОГО
Б. Н. Бронина, А. И. Коротова,
М. Н. Микшиса, Л В. Поспелова,
О. А. Соболевой, Ю. В. Чечёткина

%
БИНОМ
Москва
2014
УДК 681.3-621.375
ББК 32.85
Х80

Хоровиц П., Хилл У.


Х80 Искусство схемотехники: Пер. с англ. — Изд. 2-е. — М.:Издательство БИНОМ. —
2014. — 704 с., ил.

ISBN 978-5-9518-0351-1

Монография известных американских специалистов, уже знакомая нашему читателю по


п реды дущ и м и зд а н и я м , п о с в я щ е н а с х е м о т е х н и к е э л е к т р о н н ы х си стем .
В ней приведены наиболее интересные технические решения, а также анализируются ош иб­
ки разработчиков аппаратуры; внимание читателя сосредоточивается на тонких аспектах про­
ектирования и применения электронных схем.
Данное издание на русском языке представляет собой объединение тт. 1 и 2 предыдущего
издания 1993 г. Книга содержит сведения об элементах схем, транзисторах, операционных
усилителях, активных фильтрах, источниках питания, полевых транзисторах, прецизионных
схемах и малошумящей аппаратуре, цифровых схемах, преобразователях информации.
Для специалистов в области электроники, автоматики, вычислительной техники, а также
студентов соответствующих специальностей вузов.

ISBN 978-5-9518-0351-1 (Бином) This book was originally published in the English language
ISBN 978-0-521-37095-7 (англ.) by the Syndicate of the Press of the University of
Cambridge, England.

© Cambridge University Press 1980, 1989 Reprinted 1990


(twice), 1991,1993,1994 (twice), 1995, 1996, 1997,
1998 (twice) ,
© перевод на русский язык, «Мир», 1998, 2002, 2008
© издание на русском языке, «Издательство БИНОМ»
2010
Кэрол, Джекобу, Мише и Джинджер

ПРВДИСЛОВИЕ непопулярная в учебниках. Большая часть оставшихся


глав существенно переработана. Появились новые
За последние сорок лет в области электроники, мо­ таблицы, в том числе по аналого-цифровым и циф­
жет быть более, чем в любой другой области техни­ ро-аналоговым преобразователям, цифровым логи­
ки, наблюдалось стремительное развитие. В 1980 г., ческим компонентам, маломощным устройствам,
преодолев сомнения, мы приняли смелое решение больше стало рисунков. Теперь книга содержит 78
создать полный курс обучения искусству схемотех­ таблиц (они изданы также отдельной книгой, кото­
ники. Под «искусством» мы понимаем мастерство рая называется «Таблицы для выбора компонент Хо­
владения предметом, которое возникает на основе ровица и Хилла») и более 1000 рисунков.
богатого опыта работы с настоящими схемами и ус­ Перерабатывая текст, мы стремились сохранить не­
тройствами, но не может возникнуть в результате формальный подход, который обеспечил бы успех
некоего отвлеченного подхода, принятого во мно­ книге и как справочнику, и как учебнику. Трудно­
гих учебниках по электронике. Само собой разуме­ сти, с которыми сталкивается новичок, впервые взяв­
ется, если дело касается столь стремительно про­ шийся за электронику, всем известны: все вопросы
грессирующей области, наш практический подход сложно переплетаются друг с другом, и нет такого
таит в себе и опасность — столь же стремительно пути познания, пройдя по которому можно шаг за
«свежие» сегодня знания могут устареть. шагом преодолеть расстояние от неофита до компе­
Электронная техника не сбавляет темпа своего тентного специалиста. Вот почему в нашем учебни­
развития! Не успели просохнуть чернила на листах ке появилось так много перекрестных ссылок, кроме
первого издания нашей книги, как нелепыми ста­ того, мы расширили изданное отдельной книгой «Ру­
ли слова о «классическом» стираемом программи­ ководство по лабораторным работам» и теперь это —
руемом постоянном ЗУ, СППЗУ типа 2716 (2 Кб), «Руководство для студента» («Руководство для сту­
стоимостью 25 долл. «Классика» исчезла бесслед­ дента к курсу «Искусство схемотехники», авторы
но, уступив место СППЗУ, емкость которых стала Т. Хейес и П. Хоровиц), дополненное примерами
больше в 64 раза, а стоимость вдвое уменьшилась. конструирования схем, объяснениями, заданиями по
Основная доля исправлений в этом издании обус­ тексту основного учебника, лабораторными упраж­
ловлена появлением новых улучшенных элементов нениями и ответами к задачам. Благодаря такому при­
и методов разработки - полностью переписаны гла­ ложению, предназначенному для студентов, нам уда­
вы, посвященные микрокомпьютерам и микропро­ лось сохранить краткость изложения и множество при­
цессорам (на основе IBM PC и 68008), в значи­ меров, что и требовалось для тех читателей, которые
тельной мере переработаны главы, посвященные пользуются книгой прежде всего как справочником.
цифровой электронике (включая программируемые Надеемся, что новое издание отвечает требовани­
логические приборы (PLD) и новые логические ям всех читателей —как студентов, так и инженеров-
семейства НС и АС), операционным усилителям и практиков. Ваши предложения и замечания направ­
разработкам на их основе (что отражает факт появ­ ляйте непосредственно П. Хоровицу по адресу: Physics
ления превосходных операционных усилителей с по­ Department, Harvard University, Cambridge, MA 02138
левым транзистором на входе) и приемам констру­ (Кембридж, MA 02138, Гарвардский университет, фи­
ирования (включая САПР/АСУТП). Были пере­ зический факультет. П. Хоровицу).
смотрены все таблицы и н екоторы е из них Благодарим тех, кто помог нам в подготовке нового
претерпели существенные изменения, например, в издания: М. Аронсона и Б. Матьюса (компания АОХ,
табл. 4.1 (операционные усилители) уцелели лишь Inc.), Дж. Грена (К ейптаунский университет),
65% от 120 имевшихся в таблице входов, при этом Дж. Авигада и Т. Хейеса (Гарвардский университет).
добавились сведения по 135 новым ОУ. П. Хоровица (компания EVI, Inc.), Д. Стерна и
Мы воспользовались появившейся в связи с но­ О. Уолкера. Выражаем признательность Дж. Мобли
вым изданием возможностью откликнуться на поже­ за отличное редактирование текста, С. Прибыльской
лания читателей и учесть свои собственные замеча­ и Д. Транхау из отдела прессы Кембриджского уни­
ния по первому изданию. В результате была пере­ верситета за высокий профессионализм и оказанную
писана заново глава, посвящ енная полевым нам поддержку, а также неустанным наборщикам из­
транзисторам (она была чересчур сложной), и поме­ дательства Rosenlaui Publishing Services, Inc.
щена в другое место — перед главой по операцион­ В заключение предлагаем вашему вниманию
ным усилителям (которые все в большей степени стро­ юридическую справку, отражающую современные
ятся на полевых транзисторах). Появилась новая глава нормы закона.
по конструированию маломощных и микромощных Пауль Хоровиц
схем (аналоговых и цифровых) — тема важная, но Уинфилд Хилл
Март 1989 г.
6 Предисловие к первому изданию

Юридическая справка верхностно (и больше напоминают советы домохозяй­


ке, чем рекомендации инженерам), либо собраны из
В этой книге мы предприняли попытку научить неравномерно проработанных частей. Большая часть
читателя приемам конструирования электронных материала, излагаемого в учебниках по основам элек­
схем на основе примеров и данных, которые, на троники, на практике никогда не применяется и чаще
наш взгляд, являются точными. Однако приме­ всего, для того чтобы найти какую-нибудь нужную схе­
ры, данные и прочую информацию, предназна­ му или посмотреть, как проводить анализ ее работы,
ченную для обучения, не следует использовать в инженеру приходится отыскивать фирменные руковод­
практических приложениях без самостоятельного ства по применению схем, просматривать техничес­
тестирования и проверки. Тестирование и провер­ кие журналы, доставать дефицитные справочники. Ко­
ка особенно важны в тех случаях, когда непра­ роче говоря, авторы учебников, как правило, излага­
вильное функционирование может привести к не­ ют теорию и никак не учат искусству схемотехники
счастному случаю или повреждению имущества. или проектирования схем.
В связи с этим мы не даем никаких гарантий, пря­ Мы поставили перед собой задачу написать та­
мых или косвенных, на предмет того, что примеры, кую книгу по электронике, которая была бы по­
данные и прочая информация в этой книге не содер­ лезна и инженеру-разработчику, и физику-практи-
жат ошибок, отвечают требованиям промышленных ку, и преподавателю электроники. Мы придержи­
стандартов или требованиям конкретных практичес­ ваемся мнения, и это находит свое отражение в
ких приложений. Авторы и издатель не несут ответ­ книге, что электроника — это искусство, которое
ственности за коммерческий исход и пригодность для основано на нескольких основных законах и вклю­
какой-либо практической цели, даже в том случае, если чает в себя большое количество практических пра­
авторы дали совет по практическому использованию вил и приемов. По этой причине мы сочли воз­
и описали пример практического использования в тек­ можным полностью опустить проблемы физики
сте. Авторы и издатель не несут также юридической твердого тела, модель транзистора с использовани­
ответственности за прямые или косвенные, предна­ ем /г-параметров, сложную для понимания теорию
меренные или случайные повреждения, возникшие в цепей и свели к минимуму рассмотрение нагрузоч­
результате использования примеров, данных и про­ ных характеристик и использование комплексной
чей информации из этой книги. i -плоскости. Математических выкладок вы встре­
тите очень мало, зато приводятся разнообразные
примеры схем и всячески пропагандируется быст­
ПРЕДИСЛОВИЕ К ПЕРВОМУ ИЗДАНИЮ рая прикидочная оценка параметров и характерис­
тик (которую желательно уметь производить «в уме»).
Эта книга представляет собой учебник по разра­ Помимо тех проблем, которые обычно рассматри­
ботке электронных схем и одновременно справочное вают в учебниках по электронике, наша книга вклю­
пособие для инженеров, уровень изложения в ней по­ чает следующие вопросы: рассмотрение удобной для
степенно повышается от простейшего, рассчитанно­ использования модели транзистора; построение таких
го на новичков, к сложному, требующему глубоких практически полезных схем, как источники тока и то­
знаний по электронике. Мы строго подошли к вы­ ковые зеркала; разработки на базе операционного уси­
бору круга рассматриваемых проблем и постарались лителя с одним источником питания; ряд практичес­
просто и доходчиво изложить основные вопросы, с ких вопросов, по которым часто трудно найти инфор­
которыми сталкивается разработчик, стараясь совме­ мацию (методы частотной коррекции операционных
стить прагматический подход физика-практика и точку усилителей, схемы с низким уровнем шумов, схемы
зрения инженера, стремящегося к точности и обо­ ФАПЧ и прецизионные линейные цепи); упрошен­
снованности в разработке электронной схемы. ный метод разработки активных фильтров с исполь­
Основой для этой книги послужили конспекты зованием таблиц и графиков; проблемы шумов, экра­
курса электроники, которые читаются в Гарварде в нирования и заземления; оригинальный графический
течение одного семестра. Аудитория у этого курса метод анализа усилителя с низким уровнем шумов;
довольно неоднородна - это специалисты, закон­ источники эталонного напряжения и стабилизаторы
чившие университет и повышающие квалификацию напряжения, включая источники питания постоянного
в соответствии со спецификой своей работы в про­ тока; мультивибраторы и их разновидности; недостат­
мышленности, студенты-выпускники, стремящиеся ки цифровых логических схем и пути их устранения;
к научной работе, и соискатели ученой степени, сопряжение с логическими схемами, включая новые
которые неожиданно обнаружили свою неосведом­ типы больших интегральных схем на «МОП- и рМОП-
ленность в электронике. структурах; методы аналого-цифрового и цифро-ана-
Как показала практика, существующие учебники не логового преобразования; генерация шумов в цифро­
подходят для такого курса. Очень хорошие книги на­ вых схемах; микропроцессоры и практические приме­
писаны по отдельным разделам электроники, но все ры их использования; конструирование, печатный
они предназначены для четырехгодичных курсов обу­ монтаж, печатные платы, примеры готовых конструк­
чения или для инженеров, имеющих опыт практичес­ ций, упрощенные способы оценки быстродействия пе­
кой работы; те учебники, в которых сделана попытка реключательных схем; измерение и обработка данных;
рассмотреть предмет электроники в целом, либо пере­ описывается, что можно измерить и с какой точнос­
гружены подробностями (и склоняются по стилю к тью, как обработать данные; методы сужения полосы
уровню пособий), либо излагают материал очень по­ пропускания (усреднение сигналов, уплотнение ка­
Предисловие к первому изданию 7
налов, использование усилителей с защелкой и весо­ ронных схем, читаемого в колледжах. Требования к
вых импульсов); представлена обширная коллекция предварительному изучению математики невелики,
негодных схем и удачных схем. однако читатель должен иметь представление о три­
Некоторые полезные вопросы вынесены в прило­ гонометрических и экспоненциальных функциях и
жения, из которых вы можете узнать, как чертить прин­ дифференциальном исчислении. (В приложение
ципиальные схемы, какие существуют типы интеграль­ вынесен небольшой обзор по теории функций ком­
ных схем, как проектировать iC -фильтры. В них при­ плексного переменного и ее основным для электро­
ведены сопротивления некоторых типов резисторов, ники результатам.) Если опустить некоторые разде­
рассмотрены осциллографы, сюда же включены не­ лы, то книгу можно использовать для курса, рас­
которые полезные математические выкладки. В кни­ считанного на один семестр (как в Гарварде).
ге приведены таблицы с характеристиками распрост­ Отдельно издано руководство к лабораторным ра­
раненных типов диодов, транзисторов, полевых тран­ ботам — «Руководство к лабоработным работам по
зисторов, операционных усилителей, компараторов, курсу «Искусство схемотехники» П. Хоровица и
стабилизаторов, источников эталонных напряжений, Я. Робинсона, 1981 г.), которое содержит двадцать
микропроцессоров и других устройств. три лабораторные работы со ссылками на текст
Мы стремились к конкретности в изложении всех нашего учебника.
вопросов и поэтому очень часто при рассмотрении Для того чтобы облегчить чтение книги ускорен­
той или иной схемы сравнивали между собой харак­ ным методом, разделы, которые можно опустить
теристики элементов, которые можно использовать в при изучении материала, даны мелким шрифтом.
схеме, обсуждали достоинства других вариантов по­ Кроме того, если книга должна быть изучена в те­
строения схем. В приводимых примерах схем исполь­ чение одного семестра, разумно пропустить пер­
зованы настоящие элементы, а не «черные ящики». вую половину гл. 5, а также гл. 7, 12-14 и, воз­
Главная задача состояла в том, чтобы с помощью можно, 15, это отмечено во вводных параграфах к
нашей книги читатель понял, как разрабатывается перечисленным главам.
электронная схема, как выбирается ее конфигурация, Нам бы хотелось поблагодарить наших коллег за
типы элементов и их параметры. Отказ от математи­ ценные замечания и помощь, которую они оказали
ческих выкладок вовсе не означает, что мы хотим при подготовке рукописи, особенно М. Аронсона,
научить читателя строить схемы «на глазок», не очень- Г. Берга, Д. Крауза, К. Девиса, Д. Грайсинджера,
то заботясь об их характеристиках и надежности. Дж. Хагена, Т. Хейеса, П. Хоровица, Б. Клайна,
Наоборот, излагаемый подход к разработке электрон­ К. Папалиолиса, Дж. Сейджа и Б. Ваттерлинга.
ных схем максимально приближен к реальной жиз­ Мы выражаем признательность Э. Хайэбру, Дж. Моб­
ни, он показывает, как принимаются решения при ли, Р. Джонсон и К. Вернеру из отдела прессы Кем­
создании схем в инженерной практике. бриджского университета за работу, которую они
Эту книгу можно использовать в качестве учебни­ выполнили с большим вкусом, на высоком профес­
ка для годичного курса по проектированию элект­ сиональном уровне.

Пауль Хоровиц
Уинфилд Хилл
Апрель 1980 г.
ГЛАВА 1
ОСНОВЫ ЭЛЕКТРОНИКИ

ВВЕДЕНИЕ

Электроника имеет короткую, но богатую казать, как он интересен и в чем состоят


событиями историю. Первый ее период его секреты.
связан с простейш ими передатчиками Первую главу мы посвящаем изучению
ключевого действия и способными вос­ законов, практических правил и хитрос­
принимать их сигналы приемниками, ко­ тей, составляющих в нашем понимании
торые появились в начале нашего века. основу искусства электроники. Начинать
Затем наступила эпоха вакуумных ламп, всегда следует с самого начала, поэтому
которая ознаменовала собой возможность мы выясним, что такое напряжение, ток,
претворения в жизнь смелых идей. С ей­ мощность и из каких компонентов состо­
час мы являемся свидетелями нового эта­ ит электронная схема. На первых порах,
па развития электроники, связанного с пока вы не научитесь видеть, слышать,
появлением элементов на твердом теле и осязать и ощущать электричество, вам
характеризующегося неиссякаемым пото­ придется столкнуться с определенными
ком новых ошеломляющих достижений. абстрактными понятиями (их особенно
Технология изготовления больших интег­ много в гл. 1), а также увязать свои пред­
ральных схем (БИ С) дает возможность ставления о них с показаниями таких ви­
производить такие кристаллы кремния, на зуальных приборов, как осциллографы и
основе которых создают калькуляторы, вольтметры. Первая глава содержит в себе
вычислительные машины и даже «гово­ много математики, больше, чем другие
рящие машины» со словарным запасом в главы, несмотря на то что мы старались
несколько сотен слов. Развитие техноло­ свести математические выкладки к мини­
гии сверхбольших интегральных схем от­ муму и хотели бы способствовать разви­
крывает возможность создания еще более тию интуитивного понимания построения
замечательных устройств. и работы электронных схем.
Наверное, стоит сказать и о том, что в Раз уж мы занялись основами электро­
истории развития электроники наблю ­ ники, нам следует прежде всего начать с
дается тенденция уменьш ения стоимос­ так называемых активных схем (усилите­
ти устройств при увеличении объема их лей, генераторов, логических схем и т.п.),
производства. Стоимость электронной благодаря которым электроника и вызы­
м и к р о с х ем ы , н а п р и м е р , п о с т о я н н о вает к себе такой интерес. Читатель, у
уменьшается по отнош ению к единице которого уже есть некоторые знания по
ее первоначальной стоимости по мере электронике, может эту главу пропустить.
соверш енствования процесса производ­ Она предназначена для тех, кто прежде
ства (см. рис. 8.87). На самом деле за­ электрон и к ой н икогда не заним ался.
частую панель управления и корпус при­ Итак, приступим к делу.
бора стоят дороже, чем его электронная
часть. НАПРЯЖЕНИЕ, ТОК И СОПРОТИВЛЕНИЕ
Если вас заинтересовали успехи элект­
роники и если у вас есть желание само­ 1.01. Напряжение и ток
стоятельно конструировать всевозможные
хитроумные веши, которые были бы н а­ Напряжение и ток — это количественные
дежны, недороги, просты и красивы, то понятия, о которых следует помнить все­
эта книга - для вас. В ней мы попыта­ гда, когда дело касается электронной схе­
лись раскрыть предмет электроники, по­ мы. Обычно они изменяются во времени,
Основы электроники 9

в противном случае работа схемы не пред­ гда протекает через точку в схеме или че­
ставляет интереса. рез какой-нибудь элемент схемы.
Напряжение (условное обозначение U, Говорить «напряж ение в резисторе»
иногда Е). Напряжение между двумя точ- нельзя — это неграмотно. Однако часто
кми — это энергия (или работа), которая говорят о напряжении в какой-либо точ­
затрачивается на перемещение единичного ке схемы. При этом всегда подразумева­
положительного заряда из точки с низ­ ют напряжение между этой точкой и «зем­
ким потенциалом в точку с высоким по­ лей», т. е. такой точкой схемы, потен­
тенциалом (т.е. первая точка имеет более циал которой всем известен. Скоро вы
отрицательный потенциал по сравнению привыкните к такому способу измерения
со второй). Иначе говоря, это энергия, напряжения.
которая высвобождается, когда единич­ Н апряжение создается путем воздей­
ный заряд «сползает» от высокого потен­ ствия на электрические заряды в таких
циала к низкому. Напряжение называют устройствах, как батареи (электрохими­
также разностью потенциалов или элект­ ческие реакции), генераторы (взаимодей­
родвиж ущей силой (э .д .с ). Е д и н и ц ей ствие магнитных сил), солнечные батареи
измерения нап ряж ени я служит вольт. (ф отогальван и чески й эф ф ект энергии
Обычно напряжение измеряют в вольтах фотонов) и т.п. Ток мы получаем, при­
(В), киловольтах (1 кВ = 103 В), милли­ кладывая напряжение между точками схе­
вольтах (1 мВ = 10“3 В) или микроволь­ мы.
тах (1 мкВ = 10~6 В) (см. разд. «П ри­ Здесь, пожалуй, может возникнуть воп­
ставки для образования кратных и доль­ рос, а что же такое напряжение и ток на
ных единиц измерения», напечатанный самом деле, как они выглядят? Для того
мелким шрифтом). Для того чтобы пере­ чтобы ответить на этот вопрос, лучше все­
местить заряд величиной 1 кулон между го воспользоваться таким электронным
точками, имеющими разность потенциа­ прибором, как осциллограф. С его помо­
лов величиной 1 вольт, необходимо со­ щью можно наблю дать напряж ение (а
вершить работу в 1 джоуль. (Кулон служит иногда и ток) как функцию, изменяю ­
единицей измерения электрического заря­ щуюся во времени. Мы будем прибегать
да и равен заряду приблизительно 6 1 0 18 к показаниям осциллографов, а также
электронов.) Напряжение, измеряемое в вольтметров для характеристики сигналов.
нановольтах (1 нВ = 10~9 В) или в мега­ Для начала советуем посмотреть прило­
вольтах (1 мВ = 106 В), встречается редко; жение А, в котором идет речь об осцил­
вы убедитесь в этом, прочитав всю книгу. лографе, и разд. «Универсальные изме­
Ток (условное обозначение 1). Ток —это рительные приборы», напечатанный мел­
скорость перемещ ения электрического ким шрифтом.
заряда в точке. Единицей измерения тока В реальных схемах мы соединяем эле­
служит ампер. Обычно ток измеряют в менты между собой с помощью прово­
амперах (А), м и ллиам перах (1 мА = дов, металлических проводников, каж ­
= 10 3 А ), м и к р о а м п е р ах (1 м кА = дый из которых в каждой своей точке
= 10' 6 А), наноамперах (1 нА = 10-9 А) обладает одним и тем же напряжением
и иногда в пикоамперах (1 пкА = 10“12 А). (по отн ош ен и ю , скаж ем , к зем ле). В
Ток величиной 1 ампер создается переме­ области высоких частот или низких пол­
щением заряда величиной 1 кулон за вре­ ных сопротивлений это утверждение не
мя, равное 1 с. Условились считать, что совсем справедливо, и в свое время мы
ток в цепи протекает от точки с более по­ обсудим этот вопрос. Сейчас же примем
ложительным потенциалом к точке с бо­ это допущение на веру. Мы упомянули
лее отрицательным потенциалом, хотя об этом для того, чтобы вы поняли, что
электрон перемещается в противополож­ реальная схема не обязательно должна
ном направлении. выглядеть как ее схематическое изобра­
Запомните: напряжение всегда измеря­ жение, так как провода можно соединять
ется между двумя точками схемы, ток все­ по-разному.
10 Глава 1

шину, в которой побочным продуктом не­

Рис. 1.1.
ЧЭ-' скольких страниц результатов реш ения
задачи становятся многие киловатты элек­
трической энергии, рассеиваемой в про­
странство в виде тепла).
В дальнейшем при изучении периоди­
Запомните несклько простых правил, чески изменяющихся токов и напряжений
касающихся тока и напряжения. нам придется обобщить простое выраже­
1. Сумма токов, втекающих в точку, ние Р = UI для того, чтобы определять
равна сумме токов, вытекающих из нее среднее значение мощ ности. В таком
(сохранение заряда). Иногда это правило виде оно справедливо для определения
называют законом Кирхгофа для токов. мгновенного значения мощности.
Инженеры любят называть такую точку Кстати, запомните, что не нужно на­
схемы узлом. Из этого правила вытекает зывать ток силой тока — это неграмотно.
следствие: в последовательной цепи (пред­ Нельзя также называть резистор сопро­
ставляющей собой группу элементов, име­ тивлением. О резисторах речь пойдет в
ющих по два конца и соединенных этими следующем разделе.
концами один с другим) ток во всех точ­
ках одинаков. 1.02. Взаимосвязь напряжения
2. При параллельном соединении эле­ и тока: резисторы
ментов (рис. 1. 1) напряжение на каждом
из элементов одинаково. Иначе говоря, Тема эта очень обш ирна и интересна.
сумма падений напряжения между точка­ В ней заключена суть электроники. Если
ми А и В, измеренная по любой ветви схе­ попытаться изложить ее в двух словах, то
мы, соединяющей эти точки, одинакова и она посвящена тому, как можно сделать
равна напряжению между точками А и В. элемент, имеющий ту или иную характе­
Иногда это правило формулируется так: ристику, выраженную определенной за­
сумма падений напряжения в любом зам­ висимостью между током и напряжени­
кнутом контуре схемы равна нулю. Это ем, и как его использовать в схеме. При­
закон Кирхгофа для напряжений. мерами таких элементов служат резисторы
3. Мощность (работа, совершенная за (ток прямо пропорционален напряж е­
единицу времени), потребляемая схемой, нию), конденсаторы (ток пропорциона­
определяется следующим образом: лен скорости изм енения напряж ения),
диоды (ток протекает только в одном на­
P = U I.
правлении), термисторы (сопротивление
Вспомним, как мы определили напря­ зависит от температуры), тензорезисторы
жение и ток, и получим, что мощ ность (сопротивление зависит от деформации)
равна: (работа/заряд) • (заряд/время). и т. д. Постепенно мы познакомимся с
Если напряжение U измерено в вольтах, некоторыми экзотическими представите­
а ток / —в амперах, то мощность Р будет лями этой плеяды; а сейчас рассмотрим
выражена в ваттах. Мощность величиной самый нехитрый и наиболее распростра­
1 ватт — это работа в 1 джоуль, совер­ ненный элемент - резистор (рис. 1.2).
шенная за 1 с (1 Вт = 1 Дж/с).
Мощность рассеивается в виде тепла (как
правило) или иногда затрачивается на
механическую работу (моторы), перехо­ Рис. 1.2.
дит в энергию излучения (лампы, пере­
датчики) или накапливается (батареи, ПРИСТАВКИ ДЛЯ ОБРАЗОВАНИЯ КРАТНЫХ
конденсаторы). При разработке сложной И ДОЛЬНЫХ ЕДИНИЦ ИЗМЕРЕНИЯ
системы одним из основных является воп­ Следующие приставки приняты для образования
рос определения ее тепловой нагрузки кратных и дольных единиц измерения в научной и
(возьмем, например, вычислительную ма­ инженерной практике:
Основы электроники 11

Множитель Приставка Обозначение концу резистора прикреплен провод. Ре­


1012 тера Т зистор характеризуется величиной сопро­
109 гига Г тивления
106 мега М
109 кило К R=U/I;
10 "3 милли м сопротивление R измеряется в омах, если
ю -6 микро мк
10 "9 нано н напряжение U выражено в вольтах, а ток
ю - '2 пико ПК / в амперах. Это соотношение носит на­
ю - |5 фемто ф звание «закон Ома». Резисторы наиболее
При сокращенном обозначении дольных единиц из­ распространенного типа - углеродистые
мерения соответствующая приставка и условное обо­ композиционные —имеют сопротивление
значение единицы пишутся слитно. Обратите внима­ от 1 ома (1 Ом) до 22 мегаом (22 МОм).
ние на использование прописных и строчных букв, Резисторы характеризуются также мощно­
особенно м и М в приставках и обозначениях единиц стью, которую они рассеивают в простран­
1 мВт — это 1 милливатт, или тысячная доля ватта;
1 МГц - это 1 миллион герц. Полные наименования ство (наиболее распространены резисто­
единиц измерения всегда пишутся со строчной буквы, ры с мощностью рассеяния 1/4 Вт) и та­
даже если они образованы от имен собственных. Пол­ кими параметрами, как допуск (точность),
ное наименование единицы измерения с приставкой температурный коэф ф и ци ен т, уровень
также всегда пишется со строчной буквы. Прописные шума, коэффициент напряжения (пока­
буквы используются для условных сокращений еди­
ниц измерения. Например: герц и килогерц, но Гц и зывающий, в какой степени сопротивле­
кГц; ватг, милливатт и мегаватт, но Вт, мВт и МВт. ние зависит от приложенного напряже­
ния), стабильность во времени, индуктив­
Сопротивление и резисторы. Интерес­ ность и пр. Более подробную информацию
но, что ток, протекающий через метал­ о резисторах содержит разд. «Резисторы»,
лический проводник (или другой матери­ напечатанный мелким шрифтом, а также
ал, обладающий некоторой проводимос­ приложения Б и Г в конце второго тома.
тью ), п р о п о р ц и о н ал ен н ап р яж ен и ю , Грубо говоря, резисторы используются
приложенному к проводнику. (Что каса­ для преобразования напряжения в ток и
ется провода, который используется в ка­ наоборот. Этот вывод может показаться
честве проводников в схемах, то его обыч­ банальны м, но скоро вы поймете, что
но берут достаточно большого сечения, имеется в виду.
чтобы можно было пренебречь падения­ Последовательное и параллельное соеди­
ми напряжения, о которых мы говорили нение резисторов. И з определения сопро­
выше.) Это ни в коем случае не обяза­ тивления следует несколько выводов:
тельно для всех случаев жизни. Напри­ 1. Сопротивление двух последователь­
мер, ток, протекающий через неоновую но соединенны х резисторов (рис. 1.3)
лампу, представляет собой нелинейную равно: R = R t + R2 .
функцию от приложенного напряжения При последовательном соединении ре­
(он сохраняет нулевое значение до кри­ зисторов всегда получаем большее сопро­
тического значения напряжения, а в кри­ тивление, чем сопротивление отдельного
тической точке резко возрастает). То же резистора.
самое можно сказать и о целой группе 2. Сопротивление двух параллельно соеди­
других элементов - диодах, транзисторах, ненных резисторов (рис. 1.4) равно R =
лампах и др. Если вас интересует, поче­ = + Л ) или R = 1/(1/Л, + 1/Я 2).
му металлические проводники ведут себя
именно так, советуем прочитать курс ф и­
зики Berkeley Physics Course, том II, разд.
4.3-4.7 (см. библиографию). Резисторы из­
готавливают из проводящего материала
(графита, тонкой металлической или гра­
фитовой пленки или провода, обладающего
невысокой проводимостью). К каждому
12 Глава 1

При параллельном соединении резисто­ тавливают из графитовых смесей, металлических пле­


ров всегда получаем меньшее сопротивле­ нок, проводов, накрученных на каркас, или на основе
полупроводниковых элементов, подобных полевым тран­
ние, чем соединение отдельных резисто­ зисторам. Наиболее распространены углеродистые ком­
ров. Сопротивление измеряется в омах позиционные резисторы, имеющие мощность 1/4 или
(Ом). На практике, когда речь идет о ре­ 1/2 Вт. Существует стандартный диапазон значений со­
зисторах с сопротивлением более 1000 Ом противлений —от 1 Ом до 100 МОм, причем для рези­
(1 кОм), иногда оставляют только пристав­ сторов с допуском на сопротивление, равным 5%, вы­
пускается в два раза больше значений сопротивлений,
ку, опуская в обозначении «Ом», т. е. чем для резисторов с допуском 10% (см. приложение
резистор с сопротивлением 10 кОм иног­ В). Мы рекомендуем использовать резисторы фирмы
да обозначают как 10 К, а резистор с со­ Allen Bradley типа АВ (1/4 Вт, 5%), так как они имеют
противлением 1 МОм - как 1 М. На схе­ понятную маркировку, стабильные характеристики и
мах иногда опускают и обозначение «Ом», надежное соединение с проводниками выводов.
Резисторы настолько просты в обращении, что очень
оставляя только число. Может быть, все часто их принимают как нечто само собой разумеюще­
это кажется вам не очень интересным? еся. Между тем они не идеальны, и стоит обратить
Немного терпения, и мы перейдем к ин­ внимание на некоторые их недостатки. Возьмем, на­
тересным практическим примерам. пример, получившие широкое распространение резис­
торы композиционного типа с допуском 5%. Они хо­
роши почти для любых схем с некритичными парамет­
РЕЗИСТОРЫ рами, но невысокая стабильность этих резисторов не
позволяет использовать их в прецизионных схемах.
Резисторы поистине вездесущи. Типы резисторов по­ Следует помнить об ограничениях, свойственных этим
чти столь же многочисленны, как и схемы, в которых элементам, чтобы в один прекрасный день не оказаться
они применяются. Резисторы используются в усилите­ разачарованным. Основной недостаток состоит в из­
лях, в качестве нагрузки для активных устройств, в схе­ менении сопротивления во времени под действием тем­
мах смещения и в качестве элементов обратной связи. пературы, напряжения, влажности. Другие недостат­
Вместе с конденсаторами они используются для зада­ ки связаны с индуктивными свойствами (они суще­
ния постоянной времени и работают как фильтры. Они ственно сказываются на высоких частотах), с наличием
служат для установки величин рабочих токов и уровней термальных точек в мощных схемах или шумов в усили­
сигналов. В схемах питания резисторы используются телях с низким уровнем шума. Ниже приводятся пара­
для уменьшения напряжения за счет рассеяния мощ­ метры резисторов в самых жестких условиях эксплуата­
ности, для измерения токов и для разряда конденсато­ ции; обычно условия бывают лучше, но правильнее рас­
ров после снятия питания. В прецизионных схемах они считывать на худшее.
помогают устанавливать нужные токи, обеспечивать точ­
ные коэффициенты пропорциональности для напря­
жения, устанавливать точные коэффициенты усиления. ХАРАКТЕРИСТИКИ РЕЗИСТОРОВ ФИРМЫ
В логических схемах резисторы выступают в качестве ALLEN BRADLEY, (СЕРИЯ АВ, ТИП СВ)
конечных элементов линий и шин, «повышающих» и Стандартный допуск в номинальных условиях состав­
«понижающих» элементов. В высоковольтных схемах ляет 5%. Максимальная мощность при температуре ок­
резисторы служат для измерения напряжений, для вы­ ружающей среды 70°С составляет 0,25 Вт, при этом внут­
равнивания токов утечки через диоды или конденсато­ ренняя температура повышается до 150°С. Максималь­
ры, соединенные последовательно. На радиочастотах ное приложенное напряжение составляет (0,25 R)'n или
они используются даже в качестве индуктивностей. 250 В (меньшее из двух значений). И это на самом
Промышленность выпускает резисторы с сопротив­ деле так! (см. рис. 6.53). Однократное превышение
лением от 0,01 Ом до 1012 Ом и мощностью от 1/8 напряжения до 400 В в течение 5 с вызывает необрати­
до 250 Вт с допуском от 0,005 до 20%. Резисторы изго­ мое изменение сопротивления на 2%.

Изменение Необрати-
сопротивления, % мое?
(R = 1 кОм) (R = 10 МОм)
Пайка (350°С на расстоянии 3 мм) ±2 +2 Да
Циклическая нагрузка (50 циклов ВКЛ/ВЫКЛ за 1000 ч) + 4—6 + 4 -6 »
Вибрация (20 д) и удар (100 д) ±2 ±2 »
Влажность (95%-ная огн. влажность при 40°С) +6 + 10 Нет
Коэффициент напряжения (изменение, равное 10 В) -0,15 -0 ,3 »
Температура (от 25 до -1 5 °С) +2,5 +4,5 »
Температура (от 25 до 85 °С) +3,3 +5,9 »
Основы электроники 13

В схемах, где требуется высокая точность или ста­ Правило 2. Допустим, вы хотите узнать,
бильность, следует использовать резисторы из ме­ чему равно сопротивление двух параллель­
таллической пленки с допуском 1% (см. приложе­
ние Г). Они обеспечивают стабильность не хуже 0,1%
но соединенных резисторов, обладающих
в нормальных условиях и не хуже 1 % в самых жест­ сопротивлением 5 и 10 кОм. Если вооб­
ких условиях. Прецизионные проволочные резис­ разить, что резистор соп роти влени ем
торы способны удовлетворить наиболее высоким тре­ 5 кОм представляет собой параллельное
бованиям. соединение двух резисторов сопротивле­
Если ожидается, что мощность, рассеиваемая в
схеме, будет составлять более 0,1 Вт, то следует выб­ нием 10 кОм, то схема будет представле­
рать резистор с большим значением рассеиваемой на параллельным соединением трех рези­
мощности. Композиционные углеродистые резис­ сторов с сопротивлением 10 кОм. Так как
торы характеризуются мощностью до 2 Вт, а мощ­ сопротивление одинаковых параллельно
ные проволочные резисторы —более высокими зна­ соединенных резисторов равно 1/я -й час­
чениями. Для мощных схем наилучшие характерис­
тики обеспечивает резистор с отводом тепла. ти сопротивления одного из них, то от­
Резисторы этого типа выпускаются с допуском 1% вет в нашей задаче будет 10 кО м/3, или
и могут надежно работать при собственной темпера­ 3,33 кОм. Это правило полезно усвоить,
туре до 250 °С в течение длительного периода време­ так как с его помощью можно быстро
ни. Допустимая рассеиваемая мощность зависит от проанализировать схему «в уме». Мы хо­
воздушного потока, температурных условий на вы­
водах и плотности схемы; следовательно, мощность тим, чтобы вы научились решать стоящие
на резисторе следует рассматривать как грубую ори­ перед вами задачи, имея под рукой м и­
ентировочную величину. Отметим также, что мощ­ нимум — оборотную сторону почтового
ность резистора связана со средним значением мощ­ конверта и ручку. Тогда блестящие идеи,
ности, рассеиваемой в схеме, и может существенно
превышаться в короткие интервалы времени (в за­
возникш ие у вас в любой момент, не бу­
висимости от «тепловой массы» эти интервалы мо­ дут встречать препятствий на пути своего
гут длиться несколько секунд или более). развития.
Упражнение 1.1. Возьмем два резистора сопротивле­
И еще несколько принципов нашей до­
нием 5 и 10 кОм. Чему равно сопротивление при (а) морощенной философии: среди начина­
последовательном и (б) параллельном их соединении? ющих наблюдается тенденция вычислять
Упражнение 1.2. Какую мощность будет рассеивать значения сопротивлений резисторов и ха­
в пространство резистор с сопротивлением 1 Ом, под­ рактеристики других компонентов схем с
ключенный к батарее автомобиля с напряжением 1 В?
Упражнение 1.3. Докажите справедливость фор­
большой точностью, доступность же кар­
мул для сопротивления последовательного и парал­ манных калькуляторов в наше время по­
лельного соединения резисторов. могает развитию этой тенденции. Подда­
Упражнение 1.4. Покажите, что сопротивление не­ ваться ей не следует по двум причинам:
скольких параллельно соединенных резисторов оп­ во-первых, компоненты сами по себе име­
ределяется следующим образом:
ют определенную конечную точность (наи­
более распространенны е резисторы —
R = ---------------- !----------------
1 / Л, + 1 / Л2 + 1/ Л3 + ... + 5%; характеристики транзисторов, на­
пример, часто задаются одним-двумя ко­
Секрет резисторов, соединенных парал­ эф ф иц и ен там и ); во-вторы х, одним из
лельно: начинающие часто приступают к признаков хорошей схемы является ее не­
сложным алгебраическим выкладкам или чувствительность к точности величин ком­
углубляются в законы электроники, а здесь понентов (бывают, конечно, и исключе­
как раз лучше всего воспользоваться ин­ ния). И еще: вы скорее придете к интуи­
туитивным правилом. Приступим теперь тивному пониманию схем, если разовьете
к освоению интуитивных правил и разви­ в себе способность быстро прикидывать
тию интуиции. «в уме», а не будете увлекаться вычисле­
Правило 1. Сопротивление двух резисто­ ниями с ненужной точностью на краси­
ров, один из которых обладает большим вых калькуляторах.
сопротивлением, а другой малым, соеди­ Некоторые считают, что, для того что­
ненных между собой последовательно (па­ бы скорее научиться оценивать величину
раллельно), приблизительно равно боль­ сопротивления, полезно вводить понятие
шему (меньшему) из двух сопротивлений. проводимость, G = 1/R. Ток, протекаю­
14 Глава 1

щий через элемент с проводимостью G, в расчете на 0,305 м, исходя из того, что потери оце­
к которому приложено напряжение U, ниваются величиной PR; б) длину кабеля, на кото­
рой будут потеряны все 1010 Вт; в) если вы знаете
определяется как / = GU (это закон Ома). физику, определите, до какой температуры нагреет­
Чем меньше сопротивление проводника, ся кабель (ст = 6 ■10 12 Вт/(К4 • см2)).
тем больше его проводимость и тем боль­ Если расчет выполнен правильно, то результат,
ше ток, протекающий под воздействием вероятно, удивил вас. Как же разрешить проблему?
напряжения, приложенного между кон­
цами проводника. Вход и выход. Практически во всех элек­
С этой точки зрения формула для опре­ тронных схемах что-либо подается на вход
деления сопротивления параллельно со­ (обычно это напряжение) и соответствен­
единенных проводников вполне очевид­ но снимается с выхода (это также чаще
на: если несколько резисторов или про­ всего напряжение). Например, с выхода
водящих участков подключены к одному усилителя звуковой частоты снимается
и тому же напряжению, то полный ток напряжение (оно имеет переменное зна­
равен сумме токов, протекающих в отдель­ чение), которое в 100 раз превышает вход­
ных ветвях. В связи с этим проводимость ное напряжение (изменяющееся аналогич­
соединения равна сумме отдельных про­ но). В этом усилителе выходное напря­
водимостей составных элементов: G = ж ен и е р ас см а тр и в ае тся для д ан н о го
= G/ + G2 + G3 + ... , а это выражение значения напряжения, действующего на
эквивалентно выражению для параллель­ входе. Инженеры пользуются понятием
но соединенных резисторов, приведенно­ передаточной функции Н, которая пред­
му выше. ставляет собой отношение напряжения,
Инженеры неравнодушны к обратным измеренного на выходе, к напряжению,
величинам, и в качестве единицы измере­ действующему на входе; для вышеупомя­
ния проводимости они установили 1 си­ нутого усилителя звуковой частоты Н —
менс (1 См = 1/1 Ом), который иногда это п о сто ян н ая вел и чи н а (Н = 100).
называют «мо» («ом» наоборот). Хотя по­ К изучению усилителей мы приступим в
нятие проводимости и помогает развить следующей главе. О днако уже сейчас,
интуицию в отношении сопротивления имея представление только о резисторах,
резисторов, широкого применения оно не мы рассмотрим делитель напряжения (по
находит, и больш инство предпочитает сути он является «де-усилителем»), кото­
иметь дело с величинами сопротивления, рый играет немаловажную роль в элект­
а не проводимости. ронных схемах.
Мощность и резисторы. Мощность, рас­
сеиваемая резистором или любым другим 1.03. Делители напряжения
элементом, определяются как Р = UJ.
Пользуясь законом Ома, эту формулу М ы приступаем к рассмотрению делите­
можно записать в эквивалентном виде: ля напряжения, который используется в
Р = PR и Р = U2/Я . э л ек тр о н н ы х схемах весьм а ш и роко.
В любой настоящей схеме можно найти
Упражнение 1.5. Возьмем схему, работающую от
батареи с напряжением 15 В. Докажите, что неза­
не меньше полдюжины делителей напря­
висимо от того, как будет включен в схему резис­ жения. Простейший делитель напряже­
тор, обладающий сопротивлением более 1 кОм, мощ­ ния — это схема, которая для данного на­
ность на нем не превысит 1/4 Вт. пряжения на входе создает на выходе на­
Упражнение 1.6. Дополнительное упражнение: для пряжение, которое является некоторой
Нью-Йорка требуется 10'° Вт электрической энергии
при напряжении 110 В (цифры вполне правдоподоб­ частью входного. Простейший делитель
ны: 10 млн. жителей, каждый потребляет в среднем представлен на рис. 1.5. Что такое i/>bix?
I кВт электроэнергии). Высоковольтный кабель мо­ Предположим здесь и далее, что нагрузки
жет иметь диаметр 25,4 мм. Давайте подсчитаем, что на выходе нет, тогда ток определяется сле­
произойдет, если в качестве кабеля взять провод из дующим образом:
чистой меди диаметром 0,305 м. Сопротивление та­
кого провода составляет 0,05 мкОм (5 10"8 Ом) в рас­ / = UJ(Rt + Л,).
чете на 0,305 м. Определите: а) потери мощности
Основы электроники 15

с отрицательными «приращениями» сопро­


тивления (в качестве примера может слу­
жить туннельный диод) или просто с на­
стоящим отрицательным сопротивлением
(например, преобразователь с отрицатель­
ным импедансом, о котором мы погово­
R2
1 1 U_.

Рис. 1.5. Делитель напряжения. Приложенное на­


рим позже). Однако эти примеры доста­
точно специфичны и не должны занимать
сейчас ваше внимание.
пряжение Um создает на выходе Umt (меньшее при­ Делители напряжения часто использу­
ложенного). ют в схемах для того, чтобы получить за­
данное напряжение из большего посто­
(Мы воспользовались формулой для оп­ янного (или переменного) напряжения.
ределения сопротивления резистора и пра­ Например, если в качестве R2 взять рези­
вилом для последовательного соединения стор с регулируемым сопротивлением
резисторов). Тогда для R2 (рис. 1.6, а), то мы получим не что иное,
как схему с управляемым выходом; более
^ = /* 2 = t / Д А * , + * 2). простым путем комбинацию R^R2 можно
Обратите внимание, что выходное напря­ получить, если у вас есть один резистор с
жение всегда меньше входного (или равно переменным сопротивлением, или потен­
ему); поэтому мы говорим о делителе на­ циометр (рис. 1.6,6). Простой делитель
пряжения. Если одно из сопротивлений напряжения играет важную роль и в тот
будет отрицательным, то можно получить момент, когда вы задумываете схему:
усиление (т.е. выходное напряжение бу­ входное напряжение и сопротивление вер­
дет больше входного). Эта идея не так хней части резистора могут представлять
н ев ер о ятн а, к ак каж ется н а п ервы й собой, скажем, выход усилителя, а сопро­
взгляд: вполне можно сделать устройство тивление нижней части резистора — вход
последующего каскада. В этом случае,
/W — воспользовавшись уравнением для дели­
Сигнал на входе
теля напряжения, можно определить, что
поступит на вход последнего каскада. Все
сказанное станет более понятным, когда
Гйгнал на выходе чуть позже мы познакомим вас с одним
Диапазон
выходного интересным фактом (имеется в виду тео­
сигнала рема об эквивалентном преобразовании
(регулир.
напряжение)
схем). А сейчас немного отвлечемся от
нашей темы и поговорим об источниках
тока и напряжения.

1.04. Источники тока и напряжения

Идеальный источник напряжения — это


Aw «черный ящик», имеющий два вывода,
Сигнал на входе
между которыми он поддерживает посто­
Диапазон
выходного
сигнала и Сигнал
на выходе янное падение напряжения независимо от
величины сопротивления нагрузки. Это
означает, например, что он должен по­
рождать ток, равный I = U/R, если к вы­
Рис. 1.6. Регулируемый делитель напряжения мо­
водам подключить резистор с сопротив­
жет состоять из двух резисторов — с фиксирован­ лением R. Реальный источник напряжения
ным сопротивлением и с переменным сопротивле­ не может дать ток, больший некоторого
нием, или из потенциометра. предельного максимального значения, и
16 Глава 1

Л /\ /\л ром может изменяться создаваемое ими на­

1 + 15 В
Фтов Источник
питания
пряжение (он называется рабочим диапа­
зоном выходного напряжения или просто
диапазоном), и, кроме того, выходной ток
источника нельзя считать абсолютно по­
Батарея стоянным. Источник тока «предпочитает»
Рис. 1.7. Источники напряжения постоянного и нагрузку в виде замкнутой цепи, а нагруз­
переменного тока ку в виде разомкнутой цепи «недолюбли­
вает». Условные обозначения источника
в общем случае он ведет себя как идеаль­ тока приведены на рис. 1.8.
ный источник напряжения, к которому Хорошим примером источника напря­
последовательно подключен резистор с жения может служить батарея (для источ­
небольшим сопротивлением. Очевидно, н и к а то ка п одобн ой ан ал оги и н ай ти
чем меньше сопротивление этого после­ нельзя). Например, стандартная батарей­
довательно подключенного резистора, тем ка от карманного фонаря обеспечивает
лучше. Например, стандартная щелочная напряжение 1,5 В, ее эквивалентное пос­
батарея на 9 В в последовательном со­ ледовательное сопротивление составляет
единении с резистором, имеющим сопро­ 1/4 Ом, а общий запас энергии равен при­
тивление 3 Ом, ведет себя как идеальный близительно 10 ООО Вт • с (постепенно эти
источник напряжения 9 В и дает макси­ характеристики ухудшаются; к концу сро­
мальный ток (при замыкании накоротко) ка службы батарейки напряжение может
величиной 3 А (который, к сожалению, составлять около 1 В, а внутреннее со­
погубит батарею за несколько минут). По противление — несколько ом). О том, как
понятным причинам источник напряже­ создать источник напряжения с лучшими
ния «предпочитает» нагрузку в виде ра­ характеристиками, вы узнаете, когда мы
зомкнутой цепи, а нагрузку в виде замк­ изучим обратную связь. В электронных
нутой цепи «недолюбливает». (Понятия устройствах, за исключением портатив­
«разомкнутая цепь» и «замкнутая цепь» ны х, б атарей к и и сп ользую тся редко.
очевидны: к разомкнутой цепи ничего не В гл. 14 мы рассмотрим интересную тему
подключено, а в замкнутой цепи кусок конструирования маломощных схем (на
провода замыкает выход.) Условные обо­ батарейках).
значения источников напряжения приве­
дены на рис. 1.7. 1.05. Теорема об эквивалентном преобразо­
Идеальный источник тока —это «черный вании источников (генераторов)
ящик», имеющий два вывода и поддержи­
вающий постоянный ток во внешней цепи Теорема об эквивалентном преобразова­
независимо от величины сопротивления нии источников утверждает, что всякую
нагрузки и приложенного напряжения. схему, состоящую из резисторов и источ­
Для того чтобы выполнять свои функции, ников напряжения и имеющую два выво­
он должен уметь поддерживать нужное да, можно представить в виде эквивален­
напряжение между своими выводами. тной схемы, состоящей из одного резис­
Реальные источники тока (самая нелю­ тора R, последовательно подключенного
бимая тема для большинства учебников) к одному источнику напряжения U. Пред­
имеют ограниченный диапазон, в кото- ставьте, как это удобно. Вместо того что­
бы разбираться с мешаниной батарей и
резисторов, можно взять одну батарею и
один резистор (рис. 1.9). (Кстати, извес­
С) Ф тна еще одна теорема об эквивалентном
преобразовании, которая содержит такое
L1 мА L l мА , 1 мА же утверждение относительно источника
тока и параллельно подключенного рези­
Рис. 1.8. Условные обозначения источников тока. стора).
Основы электроники 17

летворит информация о том, что в приборе использу­


ются индуктивности и сердечники.) При измерении
напряжения в вольтметре последовательно к основной
схеме подключается резистор. Например, диапазон
шкалы измерения напряжения, равный 1 В, обеспечи­
вается последовательным подключением резистора с со­
противлением 20 кОм к схеме, рассчитанной на ток
50 мкА; для больших диапазонов напряжения исполь­
зуются соответственно резисторы с большими сопро­
тивлениями. Такой вольтметр характеризуется как при­
бор на 20 000 Ом/В. Это значит, что сопротивление
Рис. 1.9. его резистора, равное 20 кОм, умножается на полный
размах напряжения в выбранном диапазоне измерения.
Как определить эквивалентные парамет­ Полный размах в любом диапазоне напряжения состав­
ры Rэ к в„ и Uэкв. для заданной схемы? Ока- ляет 1/20 000 В/Ом, или 50 мкА. Очевидно, что по­
зывается просто. и экв - это напряжение добный вольтметр оказывает тем меньше влияния на
между выводами эквивалентной схемы в схему, чем выше диапазон, так как играет роль резис­
тора с большим сопротивлением (представим вольт­
ее разомкнутом (ненагруженном) состо­ метр в качестве нижнего плеча делителя напряжения,
янии; так как обе схемы работают одина­ при этом верхнее плечо будет образовано эквивалент­
ково, это напряжение совпадает с напря­ ным выходным сопротивлением схемы, в которой под­
жением между выводами данной схемы в ключен прибор). В идеальном случае вольтметр дол­
разомкнутом состоянии (его можно оп­ жен обладать бесконечным входным сопротивлением.
В настоящее время применяются разнообразные из­
ределить путем вычислений, если схема мерительные приборы с небольшим усилением, вход­
вам известна, или измерить, если схема ное сопротивление которых может достигать 109 Ом.
неизвестна). После этого можно опреде­ К приборам такого типа относят большинство измери­
лить R KB, если учесть, что ток в эквива­ тельных цифровых приборов и даже некоторые прибо­
лентной схеме, при условии, что она ры с аналоговым отсчетом на полевых транзисторах (см.
гл. 3). Замечание: иногда входное сопротивление из­
замкнута (нагружена), равен U3Ke/ R Mt. мерительных приборов со входом на полевом транзис­
Иными словами, торе может быть очень большим в наиболее чувстви­
тельном диапазоне, а в других диапазонах оно может
и_жв = U (разомкнутая схема). иметь меньшее значение. Например, типичными яв­
R Ka = U (разомкнутая схема)//(замкнутая ляются следующие значения: 109 Ом для диапазонов
схема). 0,2 В и 107 Ом для всех остальных диапазонов. Внима­
тельно изучайте характеристики приборов! Для работы
с транзисторными схемами подходит вольтомметр на
УНИВЕРСАЛЬНЫЕ ИЗМЕРИТЕЛЬНЫЕ ПРИБОРЫ 20 ООО Ом/В, который создает для них небольшую на­
грузку. В любом случае нетрудно оценить влияние из­
Существует немало приборов, с помощью которых в мерительного прибора на работу схемы, если восполь­
схемах можно измерять напряжения и токи. Самым зоваться уравнением для делителя напряжения. Обыч­
универсальным из них является осциллограф (см. при­ но универсальные измерительные приборы имеют
ложение А); он позволяет наблюдать изменение напря­ диапазоны измерения напряжения от 1 В (и меньше)
жения во времени в одной или нескольких точках схе­ до 1 кВ (и больше) для полного размаха шкалы.
мы. Специально для отысканий неисправностей в циф­ С помощью вольтомметра можно измерить ток, оце­
ровых схемах предназначены логические щупы и нивая его величину по простому отклонению указа­
логические анализаторы. Универсальный измеритель­ теля прибора (в предыдущем примере диапазон из­
ный прибор дает возможность измерять напряжение, мерения тока составляет 50 мкА) или за счет резис­
ток и сопротивление очень часто с достаточно высокой тора с небольшим сопротивлением, подключаемого
точностью, однако у него медленная реакция, и он не параллельно основной схеме (шунта). Так как для
может заменить осциллограф в тех случаях, когда инте­ перемещения указателя необходимо небольшое паде­
рес представляют меняющиеся напряжения. Универ­ ние напряжения (обычно 0,25 В на полный размах
сальные измерительные приборы можно разделить на шкалы), шунт выбирают при изготовлении прибора
две группы: приборы, показания которых определяют­ таким, чтобы максимальный ток вызывал соответ­
ся по обычной шкале с перемещающейся стрелкой, и ствующее падение напряжения на параллельном со­
приборы с цифровым отображением показания. единении шунта и резистора измерительного прибо­
Стандартный вольтметр позволяет измерить ток по ра (для вас выбор шунта сводится к тому, что нужно
перемещению стрелки (обычно полный диапазон шка­ лишь установить переключатель на нужный диапазон
лы составляет 50 мкА). (Для того чтобы разобраться в измерения). В идеальном случае прибор для изме­
работе измерительного прибора, советуем покопаться рения тока должен иметь нулевое сопротивление, тогда
в книгах по электротехнике, но не в руководствах по при подключении его к схеме последовательно он не
разработке электронных схем, а пока нас вполне удов­ будет оказывать влияния на нее. На практике прихо­
18 Глава 1

дится мириться с падением напряжения, составляю­


щим десятые доли вольта как для вольтоммегров, так
и для цифровых приборов (это как бы накладные рас­
ходы при измерении напряжения, от которых никуда
не денешься). Обычно универсальные измеритель­
ные приборы имеют диапазоны измерения тока от
50 мкА (или ниже) до 1 А (или выше) для полного
размаха шкалы.
В универсальных измерительных приборах имеется
одна или несколько батарей для подачи питания при Рис. 1.10
измерении сопротивления. Измерения падения напря­
жения при протекании небольшого тока позволяют Приведенный пример показывает, что
определить величину сопротивления; предусмотренные делитель напряжения не может служить
для этих измерений диапазоны перекрывают величи­
ны сопротивления от 1 Ом (или ниже) до 10 МОм
хорошей батареей, так как его выходное
(или выше). Замечание; не пытайтесь измерить «ток напряж ение сущ ественно уменьш ается
источника напряжения» путем подключения прибора при подключении нагрузки. Рассмотрим
к штепсельной розетке в стене; то же самое можно упражнение 1.9. Вам сейчас известно все,
сказать об измерении сопротивления. Подобные «из­ что необходимо для того, чтобы точно
мерения» служат причиной гибели многих приборов.
рассчитать, насколько уменьшится вы­
Упражнение 1.7. Что покажет вольтметр на ходное напряжение, если подключить к
20000 Ом/В при шкале диапазона 1 В, если его под­ схеме нагрузку с определенным сопро­
ключить к источнику напряжения 1 В с внутренним
сопротивлением 10 кОм? Что покажет этот прибор,
тивлением. Воспользуйтесь эквивалент­
если его подключить к делителю напряжения с плеча­ ной схемой, подключите нагрузку и под­
ми 10 кОм-ЮкОм, питающемуся от источника по­ считайте новое выходное напряж ение,
стоянного напряжения (с нулевым сопротивлением) учитывая, что новая схема представляет
с напряжением 1 В? собой не что иное, как делитель напря­
Упражнение 1.8. Измерительный прибор с макси­
мальным отклонением указателя, соответствующим жения (рис. 1. 10).
току 50 мкА, имеет внутреннее сопротивление, рав­ Упражнение 1.9. Для схемы, показанной на
ное 5 кОм. Какое шунтирующее сопротивление нуж­ рис. 1.10, Uo = 30 В, = Л, = 10 кОм. Требуется
но подключить, чтобы прибор измерял ток в пределах определить: а) выходное напряжение в отсутствие на­
0-1 А? Какое сопротивление нужно подключить по­ грузки (напряжение разомкнутой цепи); б) выходное
следовательно для того, чтобы прибор мог измерить напряжение при условии, что подключена нагрузка
напряжение в пределах 0—10 В? 10 кОм (представьте схему в виде делителя напряже­
ния R, и /?н объедините в один резистор); в) эквива­
Попробуем применить описанный ме­ лентную схему; г) выходное напряжение при том же
тод к делителю напряжения, для чего со­ условии, что и в п. б), но для эквивалентной схемы
здесь придется иметь дело с делителем напряжения;
ставим его эквивалентную схему; ответ должен быть таким же, как в п. б); д) мощ­
1. Напряжение при разомкнутой цепи; ность, рассеиваемую каждым резистором.
U= U JR2/(R l + R})\. Эквивалентное сопротивление источни­
2. Ток замкнутой накоротко цепи: ка и нагрузка схемы. Как мы только что
убедились, делитель напряжения, на ко­
торы й подается некоторое постоянное
Тогда эквивалентная схема представля­ напряж ение, эквивалентен некоторому
ет собой источник напряжения, источнику напряжения с последовательно
подключенным к нему резистором; напри­
м ер, д ел и тел ь н ап р я ж е н и я 10 кО м —
к которому последовательно подключен 10 кОм, на который подается напряже­
резистор с сопротивлением ние от идеальной батарейки напряжением
30 В, в точности эквивалентен идеальной
Л кв= W * . + Л,). батарейке напряжением 15 В с последо­
(Не случайно сопротивление равно со­ вательно подключенным резистором с со­
противлению параллельно соединенных противлением 5 кОм (рис. 1.11). Подклю­
резисторов Л, и R2. Объяснение этому чение резистора в качестве нагрузки вы­
факту будет дано ниже.) зывает падение напряжения на выходе
Основы электроники 19

5 кОм

< = > 15 В

т_

Эквивалентная схема
Рис. 1.11.

делителя, обусловленное наличием не­ или даже сравнимо с внутренним сопро­


которого сопротивления источника (вспом­ тивлением, вызывает значительное умень­
ним эквивалентное сопротивление для де­ шение выходного параметра. Нежелатель­
лителя напряжения, если его выход выс­ ное уменьшение напряжения (или сигна­
тупает в качестве источника напряжения). ла) разомкнутой цепи за счет подключения
Очень часто это явление нежелательно. нагрузки называется «перегрузкой цепи».
Один подход к решению проблемы созда­ В связи с этим следует стремиться к тому,
ния «устойчивого» источника напряжения чтобы выполнялось условие /?н » Ланугр,
(называемого «устойчивым» в том смыс­ так как высокоомная нагрузка оказывает
ле, что он не поддается действию нагруз­ небольшое ослабляющее влияние на источ­
ки) состоит в использовании в делителе ник (рис. 1. 12); примеры тому вы встре­
напряжения резисторов с малыми сопро­ тите в последующих главах. Условие вы-
тивлениями. Иногда этот прямой подход сокоомности является обязательным для
оказывается полезным. Однако лучше все­ таких измерительных приборов, как вольт­
го для создания источника напряжения, метры и осциллографы. (Есть и исключе­
или, как его часто называют, источника ния из этого общего правила; например,
питания, использовать активные компо­ когда речь пойдет о линиях передач на ра­
ненты, такие как транзисторы, или опе­ диочастотах, вы узнаете, что следует «со­
рационные усилители, которыми мы зай­ гласовывать импедансы» для предотвраще­
мемся в гл. 2—4. Этот подход позволяет ния отражений и потерь энергии.)
создать источник напряжения, внутреннее Несколько слов о принятых выражени­
сопротивление которого (или эквивалент­ ях: часто можно услышать «сопротивле­
ное сопротивление) составит миллиомы ние со стороны входа делителя напряже­
(тысячные доли ома), при этом не требу­ ния» или «нагрузка со стороны выхода
ются большие токи и не рассеивается зна­
чительная мощность, что характерно для
низкоомного делителя напряжения с та­
кими же рабочими характеристиками.
Кроме того, в активном источнике пита­
ния не представляет труда регулировка
выходного напряжения.
Понятие эквивалентного внутреннего
сопротивления применимо ко всем типам
источников, а не только к батареям и де­
лителям напряжения. Все источники сиг­
налов (например, генераторы синусоидаль­
ных сигналов, усилители и измерительные
Рис. 1.12. Сопротивление нагрузки должно быть
приборы) обладают эквивалентным внут­ большим по сравнению с выходным сопротивлени­
ренним сопротивлением. Подключение ем для того, чтобы сигнал источника не ослаблялся
нагрузки, сопротивление которой меньше ниже значения напряжения при разомкнутой цепи.
20 Глава 1

составляет столько-то ом». Советуем при­


нять эти обороты на вооружение, так как
они в понятной форме указывают, где, по
отношению к схеме, находится резистор.
Преобразование энергии. Задумайтесь
над таким интересным вопросом: каким
должно быть сопротивление нагрузки,
чтобы при данном сопротивлении источ­
ника ей была передана максимальная
мощность? (Термины «сопротивление ис­
точника», «внутреннее сопротивление» и
«эквивалентное сопротивление» относят­
ся к одному и тому же сопротивлению).
Нетрудно заметить, что при выполнении Д иод
условий RH = 0 и Лн = со, переданная
мощность равна нулю. Условие RH = 0
означает, что U„ = 0, а / н = U JR U и по­
I открыт

этому Рн = U J H = 0. Условие Лн = сю Идеальный


означает, что Ut = Uu и /н = 0, поэтому стабилитрон
Рн = 0. Максимум заключен, следова­
тельно, между 0 и да.
Упражнение 1.10. Докажите, что при выполнении Рис. 1.13. Вольт-амперные характеристики, а — резис­
условия Лн = Ли мощность в нагрузке максимальна тор (линейная зависимость); б - зенеровский диод
для данного сопротивления источника. Замечание: (нелинейная зависимость).
пропустите это упражнение, если вы не знаете диф­
ференциального исчисления, и примите на веру, что
приведенное здесь утверждение справедливо.
(в омах) и во многих расчетах играет роль
Чтобы приведенный пример не вызвал у сопротивления. Оно называется сопротив­
вас неправильного впечатления, хотим еще лением для малых сигналов, дифференци­
раз подчеркнуть, что обычно схемы про­ альным сопротивлением, динамическим
ектируют таким образом, чтобы сопротив­ или инкрементным сопротивлением.
ление нагрузки было значительно больше, Зенеровские диоды (стабилитроны).
чем внутреннее сопротивление источника В качестве примера рассмотрим зенеров­
сигнала, работающего на эту нагрузку. ский диод (стабилитрон), вольт-амперная
характеристика которого приведена на
1.06. Динамическое сопротивление рис. 1.13. Зенеровские диоды используют
для получения постоянного напряжения
Часто приходится иметь дело с электрон­ на каком-либо участке схемы. Это дости­
ными устройствами, в которых ток / не гается за счет тока (в грубом приближе­
пропорционален напряжению U; в подоб­ нии постоянного), получаемого от ис­
ных случаях нет смысла говорить о сопро­ точника большего напряжения в той же
тивлении, так как отнош ение U /I не схеме. Например, зенеровский диод, пред­
является постоянной величиной, н еза­ ставленный на рис. 1.13, преобразует пи­
висимой от U, а, наоборот, зависит от U. тающий ток, изменяющийся в указанном
Для подобных устройств полезно знать диапазоне, в соответствующий (но более
наклон зависимости U—I (вольт-амперной узки й ) д и ап азон н ап ряж ен и й . Важно
характеристики). Иными словами, пред­ понять, как будет вести себя соответству­
ставляет интерес отношение небольшого ющее напряжение на зенеровском диоде
изменения приложенного напряжения к (зенеровское напряжение пробоя) при из­
соответствующему изменению тока через менении питающего тока, это изменение
схему: AU/AI (или A U /AI). Это отноше­ есть мера влияния изменений питающего
ние измеряется в единицах сопротивления тока. Оно характеризуется динамическим
Основы электроники 21

I
Рис. 1.14. Регулятор на зенеровском диоде.

сопротивлением зенеровского диода, оп­


ределяемым при заданном токе. (Учти­
те, что динамическое сопротивление зе­ ния, зная, что максимальное сопротивле­
неровского диода в режиме стабилизации ние для вы бранного диода составляет
изменяется обратно пропорционально 7 Ом при токе 50 мА. В диапазоне измене­
току). Например, динамическое сопротив­ ния входного напряжения ток через зене­
ление зенеровского диода, создающего ровский диод изменяется от 50 мА до
напряжение стабилизации 5 В, может 33 мА; изменение тока на 17 мА вызывает
быть равно 10 Ом при токе 10 мА. Вос­ изменение напряжения на выходе схемы,
пользовавшись определением динамичес­ равное Д U = ЛлинД /, или 0,12 В. Другие
кого сопротивления, найдем, чему будет примеры использования зенеровских дио­
равно изменение напряжения при изме­ дов вы найдете в разд. 2.04 и 16.14.
нении питающего тока на 10%: AU = В реальных условиях зенеровский диод
= ЛдинД / = 10 0,1 0,001 = 10 мВ или обеспечивает наивысшую стабильность,
ДU/U = 0,002 = 0,2%. Тем самым под­ если он питается от источника тока, у ко­
тверждаются высокие стабилизирующие торого по определению RmH = оо (ток не
качества зенеровского диода. На практи­ зависит от напряжения). Но источник тока
ке часто приходится иметь дело с такими представляет собой достаточно сложное ус­
схемами, как показанная на рис. 1.14. тройство, и поэтому на практике мы чаще
Здесь ток, протекающий через стабили­ всего удовлетворяемся простым резистором.
трон и резистор, обусловлен имеющимся Туннельные диоды. Еще один интерес­
в той же схеме напряжением, большим ный пример использования параметра
чем напряжение стабилизации. При этом динамического сопротивления связан с
/ = - U . J / R и Д / = (Д U„ - ДUBJ R , туннельным диодом. Его вольт-амперная
тогда Д иеых = Л „НД / = (RBJ R ) (Д.U„ ~ характеристика показана на рис. 1.15.
~ A U BJ и, наконец, AUm = b U J R mJ В области между точками А и В он обла­
(R + RmH). Следовательно, по отношению дает отрицательным динамическим сопро­
к изменениям напряжения схема ведет тивлением. Из этого вытекает важное
себя как делитель напряжения, в котором следствие: делитель напряжения, состоя­
зенеровский диод заменен резистором, со­ щий из резистора и туннельного диода,
противление которого равно динамичес­ может работать как усилитель (рис. 1.16).
кому сопротивлению диода при рабочем Воспользуемся уравнением для делителя
токе. Приведенный пример показывает, напряжения и для изменяющегося напря­
для чего нужен такой параметр, как ди­ ж ен и я и сит, получим и вых = [R /(R +
намическое сопротивление. Допустим, + г,)] t/clirH, где г - динамическое сопро­
что в рассмотренной нами схеме входное тивление туннельного диода при рабочем
напряжение изменяется в пределах от 15
до 20 В, а для получения стабильного
источника напряжения 5,1 В используется
зенеровский диод типа 1NA733 (зенеров­
ский диод с напряжением 5,1 В и мощ­
ностью 1 Вт). Резистор сопротивлением
300 Ом обеспечит максимальный зенеров­
ский ток, равный 50 мА: (20—5,1)/300.
Оценим изменение выходного напряже­
22 Глава 1

токе, UcmH - изменение малого сигнала, полезно изучить некоторые распростра­


которое до настоящего момента мы обоз­ ненные типы сигналов (напряжений, ко­
начали через Л1/сигн (в дальнейш ем мы торые определенным образом изменяют­
будем пользоваться этим широко распро­ ся во времени).
страненны м обозначением ). Д ля тун ­
нельного диода г<0. Значит, AU /AI < О 1.07. Синусоидальные сигналы
или u /i < 0 для области вольт-амперной
характеристики туннельного диода, зак­ Синусоидальные сигналы распространены
люченной между точками А и В. Если наиболее широко; именно их мы извлека­
г мн < 0, то знаменатель становится близ­ ем из стенной розетки. Если вы услыши­
ким к нулю, и схема начинает работать те выражение «10 мкВ на частоте 1 МГц»,
как усилитель. Напряжение м6ат создает то знайте, что речь идет о синусоидальном
постоянный ток, или смещение, которое сигнале. Математическое выражение, опи­
смещает рабочую точку в область отрица­ сывающее синусоидальное напряжение,
тельного сопротивления. (Безусловно, во имеет вид
всяком усилительном приборе необходи­
U = A sin27t/1,
мо иметь источник питания.)
И наконец, в двух словах история тун­ где А — амплитуда сигнала, / — частота в
нельных диодов: они появились в конце циклах в секунду или в герцах. Синусо­
50-х годов, и с ними сразу стали связы­ идальный сигнал показан на рис. 1.17.
вать пути разрешения множества проблем Иногда бывает полезно переместить нача­
схемотехники. Их высокое быстродей­ ло координат (t = 0) в точку, соответству­
ствие дало основание предположить, что ющую произвольному моменту времени;
они произведут революцию в области вы­ в этом случае в выражение для синусои­
числительной техники. К сож алению , дального напряжения следует включить
оказалось, что эти элементы сложны в фазу
использовании; это обстоятельство, а также U = A sin(2n/1 + 0).
успешное развитие транзисторов привело
к тому, что туннельные диоды сейчас Можно также воспользоваться поняти­
почти не находят применения. ем угловая частота и переписать выраже­
Позже при рассм отрении активны х ние для синусоидального сигнала в дру­
фильтров мы вернемся к явлению отри­ гом виде:
цательного сопротивления. Тогда вы по­ U = A sin ы ,
знакомитесь со схемой преобразователя
отрицательного импеданса, которая обес­ где со — угловая частота в радианах в 1 с.
печивает наряду с другими характерис­ Если вы вспомните, что ю = 2л/, то все
тиками настоящее (а не динамическое) станет на свои места.
отрицательное сопротивление. Основное достоинство синусоидальной
функции (а также основная причина столь
СИГНАЛЫ широкого распространения синусоидаль­
ных сигналов) состоит в том, что эта фун­
Следующий раздел главы посвящен кон­ кция является решением целого ряда ли ­
денсаторам - элементам, свойства кото­ нейных дифференциальных уравнений,
рых зависят от того, как изменяются в
схеме напряжения и токи. Закономерно­
сти, с которыми мы познакомили вас при
изучении цепей постоянного тока (закон А /1 3 ' *t
Ома, эквивалентные преобразования схем
' 2 / ^ ' f 2/
и др.), сохраняют свою силу и в тех слу­
чаях, когда напряжения и токи изменя­
ются по времени. Для лучшего понима­ Рис. 1.17. Синусоидальная зависимость изменения
ния работы цепей п ерем ен ного тока амплитуды А от частоты /
Основы электроники 23

описывающих как физические явления, пользуются понятием двойная амплитуда


так и свойства линейных цепей. Л иней­ (амплитуда от пика до пика сигнала), ко­
ная цепь обладает следующим свойством: торая, как нетрудно догадаться, равна уд­
выходной сигнал, порожденный суммой военной амплитуде. Иногда употребля­
двух входных сигналов, равен сумме двух ют понятие эффективное значение, кото­
выходных сигналов, каждый из которых рое определяется следующим образом:
порожден входными сигналами, действу­ UЭФФ = d / V 2 )А = 0,707 А или 2A / U ^ =
ющими не в совокупности, а отдельно: = 2 л/2 (это соотнош ение справедливо
иначе говоря, если Вых. (А) — выходной только для синусоидальных сигналов: для
сигнал, порожденный сигналом А, то для других видов сигналов отношение двой­
линейной цепи справедливо следующее ной амплитуды к эффективному значению
равенство: Вых. (А + В ) - Вых. (А ) + будет другим). Пусть вас не удивляет, что
+ Вых. (В ). Если на входе линейной цепи сигнал часто характеризуется эффектив­
действует синусоидальный сигнал, то на ным значением; дело в том, что именно
выходе также получим синусоидальный эффективное значение используется для
сигнал, но в общем случае его амплитуда определения мощности. В СШ А напря­
и фаза будут другими. Это утверждение жение в сети имеет эффективное значе­
справедливо только для синусоидального ние 117 В и частоту 60 Гц. Амплитуда
сигнала. На практике принято оценивать этого напряжения равна 165 В (двойная
поведение схемы по ее амплит удно- амплитуда составляет 330 В).
частотной характеристике, показываю­ Изменение амплитуды в децибелах. Как
щей, как изменяется амплитуда синусои­ сравнить амплитуды двух сигналов? Мож­
дального сигнала в зависимости от часто­ но, например, сказать, что сигнал X в два
ты. У силитель звуковой частоты , н а­ раза больше, чем сигнал Y. Во многих
пример, имеет «плоскую» амплитудно- случаях именно так и производят сравне­
частотную характеристику в диапазоне от ние. Но очень часто подобные отноше­
20 Гц до 20 кГц. ния достигают миллионов, и тогда удоб­
Частота синусоидальных сигналов, с нее пользоваться логарифмической зави­
которыми чаще всего приходится рабо­ с и м о с ть ю и и зм е р я т ь о т н о ш е н и е в
тать, лежит в диапазоне от нескольких децибелах (децибел составляет одну деся­
герц до нескольких мегагерц. Для полу­ тую часть бела, но единицей «бел» никог­
чения очень низких частот, от 0,0001 Гц и да не пользуются). По определению от­
ниже, достаточно аккуратно построить нош ение двух сигналов, выраженное в
нужную схему. Получение более высоких децибелах, это дБ = 20 lg(А2/А {), где A t
частот, например до 2000 МГц, также не и А2 — амплитуды двух сигналов. Напри­
вызывает принципиальных трудностей, но мер, если один сигнал имеет амплитуду
для сигналов такой частоты нужны спе­ вдвое большую, чем другой, то отноше­
циальные линии передач и специальные ние первого сигнала ко второму состав­
приемы передачи. Кроме того, здесь при­ ляет + 6 дБ, так как lg 2 = 0,3010. Если
ходится иметь дело с микроволновыми один сигнал в 10 раз больше другого, то
сигналами, для которых не подходят при­ отношение первого ко второму составляет
вычные схемы, состоящие из отдельных + 20 дБ, а если один сигнал в 10 раз
элементов, соединенны х между собой меньше другого, то — 20 дБ. Отношение
проводами, а нужны специальные вол­ мощностей двух сигналов определяется
новоды. так:

1.08. Измерение амплитуды сигналов дБ = 101g(/y/>),


Оказывается, амплитуду синусоидального где Р: и Р2 — мощности двух сигналов.
сигнала, а также любого другого сигнала, Если оба сигнала имеют одну и ту же фор­
можно оценивать не только как абсолют­ му, т.е. представлены синусоидами, то
ное максимальное его значение. Иногда оба сп особ а оп ред ел ен и я отн ош ен и я
24 Глава 1

сигналов (через амплитуду и мощность) 1.09. Другие типы сигналов


дают одинаковый результат. Для сравне­
ния сигналов разной формы, например, Линейно-меняющийся сигнал. Л инейно-
синусоидального и шумового следует ис­ м еняю щ ийся сигнал (показан на рис.
пользовать мощность (или эффективные 1.18) —это напряжение, возрастающее (или
значения). убывающее) с постоянной скоростью. Это
Хотя децибел служит для определения напряжение, конечно, не может расти бес­
отношения двух сигналов, иногда эту еди­ конечно. Поэтому обычно такое напряже­
ницу используют для измерения абсолют­ ние имеет вид, показанный на графике
ного, а не относительного значения амп­ рис. 1.19, — напряжение нарастает до ко­
литуды. Дело в том, что можно взять не­ нечного значения, или на графике рис.
которую э тал о н н у ю ам п л и ту д у и 1.20 — пилообразное напряжение.
определять любую другую амплитуду в де­ Треугольный сигнал. Треугольный сиг­
цибелах по отношению к эталонной. Из­ нал приходится «ближайшим родственни­
вестно несколько стандартных значений ком» линейно-меняющемуся сигналу; от­
амплитуды, используемых для такого срав­ личие состоит в том, что график треуголь­
нения (эти значения не указываются, но ного сигнала является симметричны м
подразумеваются); приведем некоторые из (рис. 1.21).
них: а) дБВ — эф ф ективное значение Сигналы шумов. Сигналы, о которых
1 В; б) дБВт — напряжение, соответствую­ пойдет речь, очень часто смешивают с
щее мощности 1 мВт на некоторой пред­ шумами, имея в виду только тепловые слу­
полагаемой нагрузке, для радиочастот это чайные шумы. Шумовые напряжения ха­
обычно 50 Ом, для звуковых частот — рактеризуются частотным спектром (про­
600 Ом (напряжение 0 дБ Вт на этих на­ изведение мощности на частоту в герцах)
грузках имеет эф ф екти вн ое зн ачение и распределением амплитуд. Одним из
0,22 В и 0,78 В); в) дБп — небольшой наиболее распространенных типов шумо­
шумовой сигнал, генерируемый резисто­ вых сигналов является белый шум с гауссо­
ром при комнатной температуре (об этом вым распределением в ограниченном спектре
более подробно пойдет речь в разд. 7.11). частот. Для такого сигнала произведение
Помимо перечисленных существуют эта­ мощности на частоту в герцах сохраняется
лонные сигналы для измерений в других постоянным в некотором диапазоне час­
областях. Например, в акустике уровень тот, а вариации амплитуды для большого
звукового давления 0 дБ соответствует числа измерений мгновенного значения
сигналу, среднее квадратурное давление описы ваю тся распределением Гаусса.
которого составляет 0,0002 мкбар (1 бар Шумовой сигнал такого типа генерирует
равен 106 дин на квадратный сантиметр резистор (шум Джонсона), и он создает
или приблизительно 1 атмосфере); в свя­ неприятности при всевозможных измере­
зи уровни определяются в дБС (относи­ ниях, в которых требуется высокая чувстви­
тельный шум в полосе частот с весовой тельность. На экране осциллографа мы
функцией С). Обращаем ваше внимание видим шумовой сигнал таким, как он по­
на эталонную амплитуду 0 дБ: пользуясь казан на рис. 1.22. Более подробно шу-
этим значением, не забывайте его огово­
рить, например «амплитуда 27 дБ отно­
сительно эффективного значения 1 В»,
или в сокращенной форме «27 дБ относи­
тельно 1 Вэфф», или пользуйтесь условным
обозначением дБВ.
Упражнение 1.11. Отношение двух сигналов со­
ставляет: а) 3 дБ, б) 6 дБ, в) 10 дБ, г) 20 дБ. Для Рис. 1.18. Напряжение в виде линейно-меняющегося
каждого случая определите отношение напряжений сигнала.
и мощностей сигналов. Рис. 1.19. Ограниченный линейно-меняющийся
сигнал.
Основы электроники 25

Рис. 1.20. Пилоообразный сигнал. Рис. 1.21. Треугольный сигнал. Рис. 1.22. Шумовой сигнал.

мовые сигналы и способы борьбы с шу­ Сигналы в виде скачков и пиков. Сигна­
мовыми помехами будут рассмотрены в гл. лы в виде скачков и пиков упоминаются
7. В разд. 9.32-9.35 рассматриваются воп­ часто, но широкого применения не нахо­
росы генерации шумовых сигналов. дят. К их помощи прибегают для описа­
Прямоугольные сигналы. График изме­ ния работы схем. Если попытаться их
нения прямоугольного сигнала во време­ нарисовать, то они будут выглядеть так,
ни показан на рис. 1.23. Как и синусои­ как показано на рис. 1.26. Скачок пред­
дальный, прямоугольный сигнал харак­ ставляет собой часть прямоугольного сиг­
теризуется амплитудой и частотой. Если нала, а пик — это два скачка, следующие
на вход линейной схемы подать прямо­ с очень коротким интервалом.
угольный сигнал, то сигнал на выходе
вряд ли будет иметь прямоугольную фор­
му. Для прямоугольного сигнала эффек­
тивное значение равно просто амплиту­
де. Форма реального прямоугольного сиг­
н ала о т л и ч а е т с я от и д е а л ь н о го II I I
прямоугольника; обычно в электронной JL 1 1 А
схеме время нарастания сигнала /н состав­ 2/ / / /
ляет от нескольких наносекунд до не­
скольких микросекунд. На рис. 1.24 по­ Рис. 1.23. Прямоугольные сигналы.
казано, как обычно выглядит скачок пря­
моугольного сигнала. Время нарастания
определяется как время, в течение кото­
рого сигнал нарастает от 10 до 90% своей
максимальной амплитуды.
Импульсы. Импульсы — это сигналы,
показанные на рис. 1.25. Они характе­
ризуются амплитудой и длительностью Рис. 1.24. Время нарастания скачка прямоугольного
импульса. Если генерировать периодичес­ сигнала.
кую последовательность импульсов, то
можно говорить о частоте, или скорости
повторения импульса, и о «рабочем цик­
ле», равном отнош ению длительности JZLI1E.
импульса к периоду повторения (рабочий U JL
цикл лежит в пределах от 0 до 100%).
Рис. 1.25. Нарастающие и убывающие импульсы
Импульсы могут иметь положительную обоих полярностей.
или отрицательную полярность (пьедес­
тал), кроме того, они могут быть нараста­
ющими или спадающими. Например, вто­
рой импульс, показанный на рис. 1.25,
является убывающим импульсом положи­
тельной полярности (или спадающим им­
И
Скачок Пик

пульсом с положительным пьедесталом). Рис. 1.26.


26 Глава 1

1.10. Логические уровни рых генераторах предусмотрена возмож­


ность модуляции выходного сигнала (см.
Импульсы и прямоугольные сигналы ш и­ гл. 13). Одной из разновидностей гене­
роко используются в цифровой электро­ ратора сигнала является свип-генератор
нике. В цифровой схеме состояние лю­ (генератор качаю щ ейся частоты) — он
бой точки в любой момент времени опре- может периодически производить развер­
д ел яю т за р а н е е и зв е с т н ы е у р о в н и тку выходной частоты в некотором ди-
напряжения. Эти уровни называют про­ п азоне частот. Это качество прибора
сто «ВЫ СОКИЙ» и «Н И ЗК И Й ». Они очень полезно при исп ы тани ях схем,
соответствуют значениям «ложь» (0) и свойства которых определенным образом
«истина» ( 1) булевой алгебры логики, зависят от частоты (например, резонан­
которая имеет дело с переменными, при­ сные схемы или фильтры). В наши дни
нимающими эти значения. эти и многие другие приборы выпуска­
В цифровой электронике точные зна­ ются в исполнении, позволяющем задавать
чения напряжений не играют роли. Зада­ (программировать) частоту, амплитуду и
ча состоит в том, чтобы различать только другие параметры с помощью вычисли­
уровни напряжения. В связи с этим для тельной машины или другого цифрового
каждого семейства цифровых логических устройства.
элементов определены допустимые значе­ Еще одной разновидностью генераторов
ния высокого и низкого уровня напряже­ сигналов является синтезатор частот —
ния. Например, логическое семейство устройство, которое позволяет произво­
цифровых элементов «74НС» работает от дить точную установку частоты генериру­
напряжения + 5 В, при этом выходные емых синусоидальных колебаний. Часто­
уровни составляют 0 В (низкий уровень) та задается цифровым способом, часто с
и 5 В (высокий уровень), а порог сраба­ точностью до восьми или более знаков
тывания на входе равен 2,5 В. Реальные после запятой, и синтезируется с помо­
значения выходного напряжения могут щью точного эталона кварцевого генера­
составлять 1 В относительно «земли» или тора цифровыми методами, о которых речь
+ 5 В, но без учета ложного срабатыва­ пойдет позже (в разд. 9.27-9.31). Если
ния. О логических уровнях речь пойдет перед вами когда-нибудь будет стоять за­
дальше, в гл. 8 -9 . дача получения сигнала с абсолютно дос­
товерным, точным значением частоты, то
1.11. Источники сигналов без синтезатора ее не решить.
Генераторы импульсов. Генераторы им­
Нередко источник сигнала входит как пульсов всего лишь формируют импуль­
неотъемлемая часть в саму схему. Но для сы, но как совершенно они выполняют
испытательного режима работы очень удо­ свою задачу. В них предусмотрена воз­
бен отдельный независимый источник можность регулировки ширины (длитель­
сигнала. В качестве такого источника ности) импульса, частоты повторения,
могут выступать три типа приборов: гене­ амплитуды, времени нарастания и других
раторы (синусоидальных) сигналов, ге­ параметров. Кроме того, многие генера­
нераторы импульсов и генераторы функ­ торы позволяют генерировать пары им­
ций (сигналов специальной формы). пульсов с заданными интервалами и час­
Генераторы (синусоидальных) сигналов. тотой повторения и даже кодовые после­
Генераторами сигналов называют генера­ довательности импульсов. В большинстве
торы синусоидальных колебаний, кото­ современных генераторов импульсов пре­
рые обычно обеспечивают широкий диа­ дусмотрены логические выходы, обеспе­
пазон частот (как правило, от 50 кГц до чивающие легкое сопряжение с цифро­
50 МГц) и приспособлены для «тонкой» выми схемами. Как и в генераторах си­
регулировки амплитуды (для этой цели ис­ нусоидальных сигналов, в генераторах
пользуется схема резистивного делителя, импульсов часто предусмотрено внешнее
называемого аттенюатором). В некото­ программирование.
Основы электроники 27

Генераторы функций (специальных сиг­ КОНДЕНСАТОРЫ И ЦЕПИ


налов). Во многих отношениях генерато­ ПЕРЕМЕННОГО ТОКА
ры функций являются наиболее гибкими
из всех источников сигналов. Они позво­ Коль скоро мы начинаем рассматривать
ляют формировать синусоидальные, тре­ изменяю щ иеся сигналы напряж ения и
угольные, прямоугольные сигналы в очень тока, нам необходимо познакомиться с
широком диапазоне частот (от 0,01 Гц до двумя очен ь зан ятн ы м и элем ен там и ,
10 МГц), при этом предусмотрена воз­ которые не находят применения в цепях
можность регулировки амплитуды и сме­ постоянного тока, - речь идет о конденса­
щения по постоянному току (постоянное торах и индуктивностях. Скоро вы убеди­
напряж ение, добавляемое к сигналу). тесь, что эти компоненты вместе с резис­
Многие генераторы функций могут про­ торами являются основными элементами
изводить развертку частоты, причем в не­ пассивных линейных цепей, составляющих
скольких режимах (линейное или логариф­ основу почти всей схемотехники. Особен­
мическое изменение частоты во времени). но следует подчеркнуть роль конденсато­
Промышленность выпускает генераторы ров —без них не обходится почти ни одна
функций с импульсным выходом (прав­ схема. Они используются при генерации
да, они не обладают гибкостью генерато­ колебаний, в схемах фильтров, для бло­
ров импульсов) и возможностью модуля­ кировки и шунтирования сигналов. Их
ции выходного сигнала. используют в интегрирующих и дифферен­
Промышленность выпускает также про­ цирующих схемах. На основе конденса­
граммируемые и цифровые генераторы торов и индуктивностей строят схемы
функций. В цифровых генераторах значе­ формирующих фильтров для выделения
ние частоты (а иногда и амплитуды) счи­ нужных сигналов из фона. Некоторые
тывается в цифровом виде. В последние примеры подобных схем вы найдете в этой
годы семейство генераторов функции по­ главе, а еще большее число интересных
полнилось синтезирующим генератором примеров использования конденсаторов и
функции (генератором-синтезатором фун­ индуктивностей встретится вам в после­
кций) - устройством, которое сочетает в дующих главах.
себе гибкость генератора функций со ста­ Приступим к более детальному изуче­
бильностью и точностью синтезатора час­ нию конденсаторов. Явления, протека­
тот. Примером служит генератор типа ющие в конденсаторе, описываются ма­
HP 8116А, который формирует синусои­ тематическими зависимостями, поэтому
дальные, прямоугольные и треугольные читателям, которые имеют недостаточную
сигналы (а также импульсы, линейноме- подготовку в области математики, полез­
няющиеся сигналы, сигналы, изменяю­ но прочитать приложение Б. Не огорчай­
щиеся как функция hav х и т . д.), в диапа­ тесь, если некоторые детали не будут сразу
зоне частот от 0,001 Гц до 50 МГц. Часто­ вполне понятны , главное — это общее
та и амплитуда (от 10 мВ до 16 В от пика понимание вопроса.
до пика) задаются программно, с помо­
щью программы определяется также ли­ 1.12. Конденсаторы
нейное или логарифмическое изменение
частоты во времени. Помимо всего про­ Конденсатор (рис. 1.27) — это устройство,
чего устройство может работать как триг­ имеющее два вывода и обладающее сле­
гер, л о ги ч еск ая схем а, ф о р м и р о в ать дующим свойством:
всплески, производить амплитудную, час­ Q = CU.
тотную, импульсную модуляцию, форми­
ровать частоту, управляемую напряжени­ Конденсатор, имеющий емкость С фарад,
ем, и одиночные циклы. И последнее: если к которому прилож ено напряж ение U
вам хотелось бы иметь один источник сиг­
налов на все случаи жизни, то для этой — II—
цели лучше подойдет генератор функций. Рис. 1.27. Конденсатор.
28 Глава 1

вольт, накапливает заряд Q кулон на од­ Промышленность выпускает конденса­


ной пластине и — Q — на другой. торы разнообразных форм и размеров,
В первом приближении конденсаторы — через некоторое время вы познакомитесь
это частотно-зависимые резисторы. Они с наиболее распространенными предста­
позволяют создавать, например, частот- вителями этого обш ирного семейства.
но-зависимые делители напряжения. Для Простейший конденсатор состоит из двух
решения некоторых задач (шунтирование, проводников, расположенных на неболь­
связывание контуров) больших знаний о шом расстоянии друг от друга (но не со­
конденсаторе и не требуется, другие за­ прикасающихся между собой), настоящие
дачи (построение фильтров, резонансных простейшие конденсаторы имеют имен­
схем, накопление энергии) требуют более но такую конструкцию. Чтобы получить
глубоких знаний. Например, конденсато­ большую емкость, нужны большая пло­
ры не рассеивают энергию, хотя через них щадь и меньший зазор между проводни­
и протекает ток, — дело в том, что ток и ками, обычно для этого один из провод­
напряжение на конденсаторе смещены друг ников покрывают тонким слоем изолиру-
относительно друга по фазе на 90°. ю щ его м атериала (н а з ы в а е м о г о
Продифференцировав выражение для Q диэлектриком), для таких конденсаторов
(см. приложение Б), получим используют, например, алитированную
/ = C(dU/dt). (п окры тую ал ю м и н и ем ) м айларовую
пленку. Ш ирокое распространение полу­
Итак, конденсатор — это более сложный чили следующие типы конденсаторов: ке­
элемент, чем резистор; ток пропорцио­ рамические, электролитические (изготов­
нален не просто напряжению: а скорости ленные из металлической фольги с ок­
изменения напряжения. Если напряжение сидной пленкой в качестве изолятора),
на конденсаторе, имеющем емкость 1 Ф, слюдяные (изготовленные из металлизи­
изменится на 1 В за 1 с, то получим ток рованной слюды). Каждому типу конден­
1 А. И наоборот, протекание тока 1 А саторов присущи свои качества, краткий
через конденсатор емкостью 1 Ф вызыва­ перечень отличительных особенностей
ет изменение напряжения на 1 В за 1с. каждого типа конденсаторов приведен
Емкость, равная одной фараде, очень ве­ мелким шрифтом в разделе «Конденсато­
лика, и поэтому чаще имеют дело с мик­ ры». В общем можно сказать, что для
рофарадами (мкФ ) или пикофарадами некритичных схем подходят керамические
(пФ). Для того чтобы сбить с толку не­ и майларовые конденсаторы, в схемах, где
посвященных, на принципиальных схемах требуется большая емкость, применяют­
иногда опускают обозначения единиц из­ ся танталовые конденсаторы, а для филь­
мерения. Их приходится угадывать из кон­ трации в источниках питания используют
текста. Например, если подать ток 1 мА электролитические конденсаторы.
на конденсатор емкостью 1 мкФ, то на­ Параллельное и последовательное соеди­
пряжение за 1 с возрастет на 1000 В. нение конденсаторов. Емкость нескольких
Импульс тока продолжительностью 10 мс параллельно соединенных конденсаторов
вызовет увеличение напряжения на кон­ р авн а сум м е их ем к о стей . Н етрудн о
денсаторе на 10 В (рис. 1.28). в этом убедиться: приложим напряжение

и
у
10 мА 10 в
и стар

-------- ► j l O м с | ^ --- ^ t

Рис. 1.28. Напряжение на конденсаторе изменяется, когда через него протекает ток.
Основы электроники 29

к параллельному соединению, тогда ми свойствами. В отличие от тока, про­


текающего через резистор, он пропорци­
CU = Q = Qx + Q 2 + Q, + ...= онален не напряжению, а скорости изме­
= с,и + с2и + съи + ...= нения напряжения (т. е. его производ­
= (С, + С2 + С , + ...)«/ ной по времени). Д алее, мощ ность (U
или умноженное на / ) , которая связана с про­
текающим через конденсатор током, не
с = С, + С2 + С3 + ... обращается в тепло, а сохраняется в виде
энергии внутреннего электрического поля
в конденсаторе. При разряде конденса­
Для последовательного соединения кон­ тора происходит извлечение энергии. Эти
денсаторов имеем такое же выражение, занятные свойства мы рассмотрим с дру­
как для параллельного соединения рези­ гой точки зрения, когда будем изучать
сторов: реактивность (начиная с разд. 1.18).
С = -------------- --------------- .
1/С , + 1 / С 2 + 1 /С 3 + КОНДЕНСАТОРЫ
В частном случае для двух конденсато­ Промышленностью выпускается много типов конден­
ров: С = С,С2/(С , + С2). саторов. Здесь перечислены основные преимущества
и недостатки различных типов. Очевидно, что дан­
Ток, заряжаю щ ий конденсатор ( / = ная оценка имеет несколько субъективный характер
= CdU/dt), обладает некоторыми особы­ (см. таблицу).

Тип Диапазон емкости Максимальное Точность Температур­ Утечка Примечание


напряжение ная стабиль­
ность

Слюдяной I пФ -0,01 мкФ 100-600 Хорошая Малая Очень хорошие: рекомен­


дуются для радиочас­
тот
Цилиндриче­ 0,5 п Ф -1 0 0 пФ 100-600 Варьирует Несколько значений тем­
ский кера­ пературного коэф ф и­
мический циента, включая 0
Керамический 10 п Ф -1 мкФ 50-30000 Низкая Низкая Средняя Малые габариты, недоро­
ги, широко использу­
ются
Полиэфирные 0,001 м к Ф -5 0 мкФ 50-600 Хорошая Низкая Малая Хорошие, недорогие, ш и­
(майларо- роко используются
вые)
Полистироло- 10 пФ—2,7 мкФ 100-600 Отличная Высокая Очень Высококачестенные, круп­
вые малая ногабаритные, рекомен­
дуются для фильтров
Поликарбо- 100 пФ —30 мкФ 50-800 Отличная Отличная Малая Высококачественные,
натные имеют малые габариты
Полипропиле­ 100 пФ —50 мкФ 100-800 Отличная Высокая Очень Высококачественные; низ­
новые малая кое диэлектрическое
поглощение
Тефлоновые 100 п Ф -2 мкФ 50-200 Отличная Отличная Самая Высококачественные, са­
малая мое низкое диэлектри­
ческое поглощение
Стеклянные 10 пФ -1000 мкФ 100-600 Хорошая Очень Стабильны при длитель­
малая ной эксплуатации
Фарфоровые 100 пФ -0,1 мкФ 50-400 Хорошая Высокая Малая Хорошие: стабильные при
длительной эксплуата­
ции
Танталовые 0,1 м кФ -500 мкФ 6-100 Низкая Низкая Большая емкость; поля­
ризованные; малогаба­
ритные; небольшая
индуктивность
30 Глава 1

Продолжение таблицы
Тип Диапазон емкости Максимальное Точность Температур­ Утечка Примечание
напряжение ная стабиль­
ность

Электроли­ 0,1 м к ф - 1,6 Ф 3-600 Хуже не Хуже не Ужасная Фильтры источников п и­


тические бывает бывает тания; поляризованные;
короткий срок службы
С двойным 0,1 Ф -1 0 Ф 1,5-6 Низкая Низкая Малая Поддержка памяти; высо­
слоем кое последовательное
диэлектрика сопротивление
Масляные 0,1 м к ф —20 мкФ 200-10000 Малая Высоковольтные фильт­
ры; крупногабаритные,
длительный срок службы
Вакуумные 1 пФ -5000 пФ 2000-36000 Очень Передатчики
малая

Упражнение 1.12. Получите выражение для емкости Это выражение представляет собой диф­
двух последовательно соединенных конденсаторов. ференциальное уравнение, решение ко­
Подсказка: так как точка соединения конденсаторов
не имеет внешних подключений, то заряд, накоплен­ торого имеет вид
ный двумя конденсаторами, должен быть одинаков. U = Ae~4RC.
1.13. йС-цепи: изменения Отсюда следует, что если заряженный
во времени напряжения и тока конденсатор подключить к резистору, то
он будет разряжаться так, как показано
Для анализа цепей переменного тока (или на рис. 1.30.
в общем случае схем, работающих с изме­ Постоянная времени. Произведение RC
няю щ имися напряж ениям и и токам и) называют постоянной времени цепи. Если
можно использовать характеристики двух R измерять в омах, а С — в фарадах, то
типов. Во-первых, можно рассматривать произведение RC будет измеряться в се­
изменения напряжения U и тока / во вре­ кундах. Д ля к о н д ен сато р а ем костью
мени, а во-вторых, изменение амплитуды 1 мкФ, подключенного к резистору со­
при изменении частоты сигнала. И те и противлением 1 кОм, постоянная време­
другие характеристики имеют свои преиму­ ни составляет 1 мс, если конденсатор был
щества, и в каждом практическом случае предварительно заряжен и напряжение на
приходится выбирать наиболее подходя­ нем составляет 1 В, то при подключении
щие. Мы начнем изучение цепей перемен­ резистора в цепи появится ток, равный
ного тока с временных зависимостей, а в 1 мА.
разд. 1.18 перейдем к частотным характе­
ристикам.
Каковы же свойства схем, в состав ко­
торых входят конденсаторы? Для того что­
бы ответить на этот вопрос, рассмотрим
простейшую /?С-цепь (рис. 1.29). Вос­
пользуемся полученным ранее выражени­
ем для емкости:
С (dU/dt) = / = - U/R.

Ъ
J
Рис. 1.29.
Основы электроники 31

— Батарея,

i
— напряжение = U
I
Рис. 1.31.
<
-4 5-
t
На рис. 1.31 показана несколько иная Более низкая
схема. В момент времени t = О схема частота
подключается к батарее. Уравнение, опи­
сывающее работу такой схемы, выглядит Рис. 1.33. Напряжение, снимаемое с конденсатора
(верхние сигналы), при условии, что на него через
следующим образом: резистор подается прямоугольный сигнал.
/ = C(d(J/dt) - (UBX — U)/R
Упражнение 1.13. Докажите, что время нарастания
и имеет решение сигнала (время, в течение которого сигнал изменяет­
ся от 10 до 90% своего максимального значения) со­
U = UBX+ Ae-'/RC. ставляет 2,2 RC.
Не пугайтесь, если не поняли, как вы­ У вас, наверное, возник вопрос: каков
полнено математическое преобразование. закон изменения для произвольного U J j)l
Важно запомнить полученный результат. Для того чтобы ответить на него, нужно
В дальнейшем мы будем многократно его решить неоднородное дифференциальное
использовать, не прибегая к математичес­ уравнение (стандартные методы решения
ким выкладкам. П остоянная величина таких уравнений здесь не рассматривают­
А определяется из начальных условий ся). В результате получим
(рис. 1.32): U = 0 при / = О, откуда А =
= ~ и вхи U = U J \ - е ~ '^ . 1 -< /-T ) / RC
Установление равновесия. При условии U(t) те dt.
RC
t » RC напряжение достигает значения
Um. (Советуем запомнить хорошее прак­ Согласно полученному выражению, RC-
тическое правило, называемое правилом цепь усредняет входное напряж ение с
пяти RC. Оно гласит: за время, равное коэф ф и ц и ен том п ропорц и ональн ости
пяти постоянным времени, конденсатор e~A,/RC, где A t = т — t. На практике, одна­
заряжается или разряж ается на 99%.) ко, такой вопрос возникает редко. Чаще
Если затем изменить входное напряжение всего рассматриваются частотные характе­
Um (сделать его равным, например, нулю), ристики и определяют, какие изменения
то напряжение на конденсаторе U будет претерпевает каждая частотная составляю­
убывать, стремясь к новому значению по щая входного сигнала. Скоро (разд. 1.18)
экспоненциальному закону е~'/ к . Напри­ мы также перейдем к этому немаловаж­
мер, если на вход подать прямоугольный ную вопросу. А пока рассмотрим несколь­
сигнал Um, то сигнал на выходе U будет ко интересных схем, для анализа которых
иметь форму, показанную на рис. 1.33. достаточно временных зависимостей.
Упрощение с помощью эквивалентного
преобразования Тевенина. Можно было бы
приступить к анализу более сложных схем,
пользуясь, как и раньше, методом реше­
ния дифференциальных уравнений. Од­
нако чаще всего не стоит прибегать к ре­
шению дифф еренциальны х уравнений.
Большинство схем можно свести к RC-
схеме, показанной на рис. 1.34. Пользу­
Рис. 1.32. ясь эквивалентным преобразованием для
32 Глава 1

характеристике /?С-цепи, выходной сиг­


нал для нее задерживается относительно
входного, поэтому выходной буферный
усилитель переключается на 10 мкс позже
скачка напряжения на входе (напряжение
на выходе ЛС-цепи достигает 50% своего
Рис. 1.34. максимального значения через 0,7 R C ).
На практике приходится принимать во
делителя напряжения, образованного ре­ внимание отклонение входного порога бу­
зисторами Л, и Rv можно определить U(t ) фера от величины, равной половине н а­
для скачка входного напряжения UBX. пряжения питания, так как это отклоне­
Упражнение 1.14. Для схемы, показанной на ние изменяет задержку и ширину выход­
рис. 1.34, Л, = /Jj = 10 кОм и С = 0,1 мкФ. Опреде­ ного импульса. Иногда подобную схему
лите U(t) и изобразите полученную зависимость в виде используют для того, чтобы задержать
графика.
импульс на время, в течение которого
Пример: схема задержки. Мы уже упо­ может произойти какое-либо событие.
минали логические уровни - напряжения, При проектировании схем лучше не при­
определяющие работу цифровых схем. На бегать к подобным трюкам, но иногда
рис. 1.35 показано, как с помощью кон­ они бывают полезны.
денсаторов можно получить задержанный
импульс. В виде треугольников изобра­ 1.14. Дифференцирующие цепи
жены КМОП-буферные усилители. Они
дают высокий уровень на выходе (более Рассмотрим схему, изображенную на рис.
половины величины напряжения питания 1.36. Напряжение на конденсаторе С рав­
постоянного тока) и наоборот. Первый но Ubx - U, поэтому
буферный усилитель воспроизводит вход­
/ = Cd(Um~ U)/dt= U/R.
ной сигнал и обеспечивает небольшое
выходное сопротивление, предотвращая тем Если резистор и конденсатор выбрать так,
самым воздействие на источник сигнала чтобы сопротивление R и емкость Сбыли
/JC-цепи (вопрос о нагрузке схемы мы достаточно малыми и выполнялось усло­
рассм отрели в разд. 1.05). С огласн о вие d U /d t« d i/J d t, то
КМОП-буферные C (dU Jdf) = U/R или U(t) =
= RC[dUJt)/dt).
Таким образом, мы получили, что выход­
ное напряжение пропорционально скоро­
сти изменения входного сигнала.
Для того чтобы выполнялось условие
dU/dt d U J dt, произведение RC дол ж мо
быть небольшим, но при этом сопротив­
ление R не должно быть слишком малым,
А -вход ------- 1 \ чтобы не «нагружать» вход (при скачке на­
| I пряжения на входе изменение напряже­
ния на конденсаторе равно нулю и R пред-
B-RC ------- |

С - выход

'1 0 МКС ' ' 1 0 МКС

Рис. 1.35. Использование RC-цепи для формирования


задержанного цифрового сигнала. Рис. 1.36.
Основы электроники 33

Рис. 1.39.

Рис. 1.37. Выходной сигнал (верхний), снимаемый


с дифференциатора, на вход которого подается пря­
Паразитная емкостная связь. Иногда
моугольный сигнал. схема неожиданно начинает проявлять
дифференцирующие свойства, причем в
ситуациях, где они совершенно нежела­
ставляет собой нагрузку со стороны входа тельны. При этом можно наблюдать сиг­
схемы). Более точный критерий выбора налы, подобные показанным на рис. 1.39.
для R и С мы получим, когда изучим час­ П ервы й сигнал (а точнее, импульсная
тотные характеристики. Если на вход схе­ помеха) может возникнуть при наличии
мы подать прямоугольный сигнал, то сиг­ емкостной связи между рассматриваемой
нал на выходе будет иметь вид, представ­ линией и схемой, в которой присутствует
ленный на рис. 1.37. прямоугольный сигнал; причиной появ­
Дифференцирующие цепи удобно ис­ ления подобной помехи может служить
пользовать для выделения переднего и зад­ отсутствие оконечного резистора в линии.
него фронтов импульсных сигналов, и в Если же резистор есть, то следует либо
цифровых схемах можно иногда встретить уменьшить сопротивление источника сиг­
цепи, подобные той, которая показана на налов для линии, либо найти способ ос­
рис. 1.38. Дифференцирующая ЛС-цепь лабления емкостной связи с источником
генерирует импульсы в виде коротких пи­ сигналов прямоугольной формы. Сигнал
ков в моменты переключения входного второго типа можно наблюдать в цепи, по
сигнала, а выходной буферный усилитель которой должен проходить сигнал прямо­
преобразует эти импульсы в короткие пря­ угольной формы, при наличии дефекта в
моугольные импульсы. В реальных схе­ контакте с этой цепью, например, в щупе
мах отрицательный пик бывает небольшим осциллографа. Небольшая емкость, воз­
благодаря встроенному в буфер диоду (речь никающая при плохом контакте, и вход­
об этом элементе пойдет в разд. 1.25). ное сопротивление осциллографа образу­
ют дифференцирующую цепь. Если вы
100 пФ г
обнаружили, что ваша схема «что-то» диф­
ференцирует, то сказанное может помочь
вам найти причину неисправности и уст­

f10 кОм ранить ее.

1.15. Интегрирующие цепи

А - вход Рассмотрим схему, изображенную на рис.


1.40. Напряжение на резисторе R равно
Постоянная
времени = 1 мкс R
B-RC о------с=и------ т------- о
и._ I и

С - выход л 1
Рис. 1.38. Выделение переднего фронта импульса. Рис. 1.40.
34 Глава 1

О Выход
U
О

Рис. 1.42. Источник постоянного тока, заряжаю­


щий конденсатор, генерирует напряжение в виде
линейноменяющегося сигнала.

и н т е гр а т о р , не п р и б ега я к усл ови ю


{ /ых £/х. Такой интегратор работает в
Рис. 1.41. широком диапазоне частот и напряжений
с пренебрежимо малой ошибкой.
Интегрирующие цепи находят широкое
Um — U, следовательно, I = C(dU/dt) = прим енение в аналоговой технике. Их
= (Um — U)/R. Если обеспечить выполне­
используют в управляющих системах, схе­
ние условия U <sc Um за счет большого зна­ мах с обратной связью, при аналого-циф­
чения произведен и я RC, то получим
ровом преобразовании и генерации коле­
C{dU/df) = U J R или
баний.
j t Генераторы пилообразного сигнала. Те­
U (t ) = —— jt/BX(dt)t + константа. перь вы без труда разберетесь в том, как
лС J работает генератор пилообразного сигна­
О
ла. Эта схема хорошо зарекомендовала
Мы получили, что схема интегрирует себя и нашла очень широкое применение:
входной сигнал во времени! Рассмотрим, ее используют во время-задающих схемах,
каким образом эта схема обеспечивает апп­ в генераторах синусоидальных и других
роксимацию интегрирования в случае вход­ типов колебаний, в схемах развертки ос­
ного сигнала прямоугольной формы: U (t) циллографов, в аналого-цифровых преоб­
представляет собой знакомый уже нам гра­ разователях. Схема использует постоян­
фик экспоненциальной зависимости, оп­ ный ток для заряда конденсатора (рис.
ределяющей заряд конденсатора (рис. 1.41). 1.42). Из уравнения для тока, протекаю­
Первый участок экспоненты (интеграл от щего через конденсатор, 1= C(dU/dt) по­
почти постоянной величины) - прямая с лучим U (t) = (I/C )t. Выходной сигнал
постоянным углом наклона; при увеличе­ изображен на рис. 1.43. Линейное нара­
нии постоянной времени RC используется стание сигнала прекращается тогда, ког­
все меньший участок экспоненты, тем са­ да «иссякает» напряжение источника тока,
мым обеспечивается лучшая аппроксима­ т. е. достигается его предельное значение.
ция идеального пилообразного сигнала. Кривая для простой ЛС-цепи с резисто­
Отметим, что условие U « UBX равно­ ром, подключенным к источнику напря­
сильно тому, что ток пропорционален на­ жения, ведет себя аналогично случаю до­
пряжению Um. Если бы в качестве вход­ стижения предела источником тока. На
ного сигнала выступал ток 1(f), а не на­ рис. 1.43 эта вторая кривая показана для
пряжение, то мы получили бы идеальный случая, когда R выбрано так, чтобы ток
интегратор. Источником тока может слу­
жить резистор с большим сопротивлени­
ем и с большим падением напряжения на
нем, и на практике часто пользуются этим
приближением.
В дальнейшем, когда мы познакомим
вас с операционными усилителями и об­
ратной связью, вы узнаете, как построить Рис. 1.43.
Основы электроники 35

при нулевом выходном напряжении был Ток, протекающий через индуктивность,


равен току источника тока; при этом вто­ также как и ток, протекающий через кон­
рая кривая стремится к тому же пределу, денсатор, не просто пропорционален на­
что и ломаная. (В реальных источниках пряжению. Более того, в отличие от рези­
тока выходное напряжение ограничено стора мощность, связанная с током через
напряжением используемых в них источ­ индуктивность (произведение U на Г), не
ников питания, так что такое поведение преобразуется в тепло, а сохраняется в виде
вполне правдоподобно.) В следующей энергии магнитного поля индуктивности.
главе, посвящ енной транзисторам, мы Эту энергию можно извлечь, если прервать
построим просты е схемы и сточни ков ток через индуктивность.
тока, а в главах, где рассматриваются опе­ Условно индуктивность изображают в
рационные усилители и полевые транзи­ виде нескольких витков провода —такую
сторы, — их усовершенствованные типы. конструкцию имеет простейшая индук­
Вот как много интересных вопросов ожи­ тивность. Другие, более соверш енны е
дает нас впереди. конструкции включают сердечник, на ко­
Упражнение 1.15. Ток 1 мА заряжает конденсатор
торый наматывается провод. Материалом
емкостью 1 мкФ. Через какое время напряжение для сердечника чаще всего служит желе­
достигнет 10 В? зо (пластинки, прокатанные из сплавов
железа или изготовленные методами по­
ИНДУКТИВНОСТИ и рош ковой м еталлурги и ) и ли ф ерри т,
ТРАНСФОРМАТОРЫ представляющий собой хрупкий непро­
водящ ий магнитный материал черного
1.16. Индуктивности цвета. С ердечник позволяет увеличить
индуктивность катушки за счет магнит­
Если вы поняли, что такое конденсатор, ных свойств материала сердечника. С ер­
то вы поймете и что такое индуктивность дечник может быть изготовлен в виде
(рис. 1.44). С равним индуктивность и бруска, тора или может иметь какую -ни­
конденсатор между собой; в индуктивно­ будь более причудливую форму, напри­
сти скорость изменения тока зависит от мер «горшка» (описать его словами не
приложенного напряжения, а в конден­ так-то просто: представьте себе форму для
саторе скорость изменения напряжения выпечки пончиков, которая разнимает­
зависит от протекающего тока. Уравне­ ся пополам).
ние индуктивности имеет следующий вид: И ндуктивности находят наибольш ее
U= L(dl/dt), применение в радиочастотных схемах, где
они используются в качестве радиочастот­
где L — индуктивность в генри (или мГн, ных дросселей, и в резонансных схемах
мкГн и т.д.). Напряжение, приложенное (см. гл. 13). Пара связанных индуктив­
к индуктивности, вызывает нарастание ностей образует такой интересный эле­
протекающего через нее тока, причем из­ мент, как трансформатор. О нем мы по­
менение тока происходит по линейному говорим в следующем разделе.
закону (если пропустить ток через кон­ По сути дела индуктивность — это про­
денсатор, то это приведет к нарастанию тивоположность конденсатора. Последу­
напряжения на нем, причем изменение ющие разделы этой главы, в которых вво­
напряжения будет происходить по линей­ дится такое важное понятие, как полное
ному закону); напряжение величиной 1 В, сопротивление, или импенданс, покажут
приложенное к индуктивности 1 Гн, при­ вам, в чем эта противоположность прояв­
водит к нарастанию тока через индуктив­ ляется.
ность со скоростью 1 А в 1 с.
1.17. Трансформаторы

Трансформатор — это устройство, состоя­


Рис. 1.44. Индуктивность. щее из двух связанных катушек индуктив-
36 Глава 1

форматоры, используемые обычно в элек­

Ж
Рис. 1.45. Трансформатор.
тронных приборах, обеспечивают диапазон
вторичного напряжения от 10 до 50 В,
диапазон тока — от 0,1 до 5 А.
П р о м ы ш л ен н о сть вы п уск ает такж е
ности (называемых первичной и вторич­ трансформаторы, предназначенные для
ной обмотками). Напряжение, снимаемое работы в диапазоне звуковы х частот,
со вторичной обмотки, иное по сравне­ иногда используют резонансные транс­
нию с напряжением переменного тока, ф о р м а т о р ы . И н т е р е с п р е д с т а в л я ю т
поданным на первичную обмотку, причем трансформаторы для линий передач, о
коэффициент изменения (трансформации) которых мы немного поговорим в гл. 13,
напряжения прямопропорционален отно­ в разд. 13.10. Для сердечников высоко­
шению числа витков обмоток трансфор­ частотных трансформаторов используют
матора, а коэффициент изменения тока — специальные материалы или прибегают
обратно пропорционален. Мощность со­ к специальным конструкциям для того,
храняется неизменной. На рис. 1.45 по­ чтобы уменьшить потери энергии в сер­
казано условное обозначение трансформа­ дечнике; что же касается сердечников
тора с пластинчатым сердечником (транс­ низкочастотных (т. е. силовых) тран с­
форматоры такого типа используются для форматоров, то их делают тяжелыми или
преобразования напряжения переменного круп н огабари тны м и . Т рансф орм аторы
тока с частотой 60 Гц). для высоких и низких частот, вообще го­
Трансформатор обладает весьма высо­ воря, не взаимозаменяемы.
ким коэффициентом полезного действия
(мощность на его выходе почти равна ПОЛНОЕ И РЕАКТИВНОЕ
мощности на входе); в связи с этим по­ СОПРОТИВЛЕНИЕ
вышающий трансформатор обеспечивает
рост напряжения при уменьшении тока. Замечание: Этот раздел содержит много
Немного забегая вперед, отметим, что математических выкладок; при желании их
трансформатор с отношением числа вит­ можно пропустить, но ни в коем случае
ков обмоток, равным п, изменяет пол­ не упускайте из внимания результаты.
ное сопротивление в и2 раз. Если вторич­ Схемы с конденсаторами и индуктивнос­
ная обмотка не нагружена, то в первич­ тями сложнее, чем рассмотренные ранее
ной протекает очень небольшой ток. резистивные схемы, — их работа зависит
В электронных приборах трансформато­ от частоты входного сигнала: «делитель
ры выполняют две важные функции: во- напряжения» с конденсатором или индук­
первых, они преобразуют напряжение пе­ тивностью будет обладать частотно-зави­
ременного тока сети к нужному, обычно симым коэффициентом деления. Кроме
более низкому значению, которое можно того, схемы, в состав которых входят эти
использовать в схеме, и, во-вторых, они компоненты (их, кстати, относят к клас­
«изолируют» электронную схему от непос­ су пассивных), искажают такие входные
редственного контакта с силовой сетью, сигналы, как, например, прямоугольные
так как обмотки трансформатора электри­ колебания - в этом мы только что убеди­
чески изолированы одна от другой. Вы­ лись.
пускаемые промы ш ленностью силовые Однако и конденсаторы, и индуктивно­
трансформаторы (предназначенные для сти являются линейными элементами. Это
работы с напряжением силовых сетей, рав­ означает, что амплитуда выходного сиг­
ным 110, 127 или 220 В) обеспечивают нала, независимо от его формы, строго
разнообразные значения вторичных напря­ пропорц и ональн а амплитуде входного
жений и токов: диапазон напряж ений сигнала. Линейностью обусловлены мно­
включает значения от 1 В до нескольких гие закономерности поведения схем, и
тысяч вольт, диапазон тока — от несколь­ важнейшая состоит в следующем: если на
ких миллиампер до сотен ампер. Транс­ вход линейной схемы подан синусоидальный
Основы электроники 37

Звуковые
Ультразвук. частоты Ультразвук.
частоты Частота (лог. масштаб) частоты

Рис. 1.46. Пример частотного анализа: выравнивание для громкоговорителя.

сигнал с частотой f то на выходе будет частоте было постоянной величиной в ди­


получен также синусоидальный сигнал с апазоне звуковых частот. В этом случае
такой же частотой, но, возможно, с дру­ недостатки репродуктора можно скомпен­
гой амплитудой и фазой. сировать за счет пассивного фильтра с
Помня об этом замечательном свойстве инверсной АЧХ (как показано на графи­
при анализе схем, содержащих резисто­ ке), включенного в усилитель радиопри­
ры, конденсаторы и индуктивности, вы емника.
всегда должны ответить на вопрос: как К ак мы увидим в дальнейшем, можно
зависит выходное напряжение /его амп­ обобщить закон Ома, заменив понятие
литуда и фаза) от входного напряжения в «сопротивление» понятием «полное со­
виде синусоидального сигнала определенной противление», или «импеданс», тогда он
частоты. Этот вопрос важен и тогда, ког­ будет справедлив для лю бой схемы, в со­
да схема предназначена для другого ре­ став которой входят линейные пассивные
жима работы. График результирующей элементы (резисторы, конденсаторы, ин­
амплитудно-частотной характеристики, дуктивности). Итак, понятия «импеданс»
отражающей отношение выходного сиг­ и «реактивное сопротивление» делают за­
нала к входному для каждого значения кон Ома справедливым для схем, содер­
частоты синусоиды, полезен при анализе жащих конденсаторы и индуктивности.
работы схемы со многими видами сигна­ Уточним терминологию. Импеданс —это
лов. Амплитудно-частотная характеристи­ обобщ енное или полное сопротивление,
ка (АЧХ), представленная на рис. 1.46, индуктивности и конденсаторы облада­
может принадлежать, например, репро­ ют реактивным сопротивлением (можно
дуктору какого-нибудь «говорящего ящ и­ сказать, что они реагируют на воздей­
ка». Под выходным сигналом в данном ствие); резисторы обладают сопротивле­
случае понимается звуковое давление, а нием (по аналогии они оказывают сопро­
не н ап р яж е н и е. Ж е л а тел ь н о , чтобы тивление воздействию). Иными словами,
АЧХ репродуктора была «плоской», т.е. импеданс = сопротивление + реактивное
чтобы отношение звукового давления к сопротивление (более подробно погово­
38 Глава 1

рим об этом п озж е). О дн ако м ож но


встретить, например, такое выражение:
«импеданс конденсатора на данной час­
тоте составляет...». Дело в том, что в им­
педанс входит реактивное сопротивление,
и поэтому не обязательно говорить «реак­
тивное сопротивление конденсатора»,
можно сказать и «импеданс конденсато­
ра». На самом деле слово «импеданс» ча­
сто употребляют и тогда, когда известно,
что речь идет о сопротивлении; например,
говорят «импеданс источника» или «вы­
ходной импеданс», имея в виду эквива­ (Напомним, что со = 2nf.) Конденсатор
лентное сопротивление некоторого источ­ ведет себя как резистор, сопротивление
ника. То же самое относится и к «вход­ которого зависит от частоты и определя­
ному импедансу». ется выражением R = 1/со С, и, кроме того,
В дальнейшем речь пойдет о схемах, для ток, протекаю щ ий через конденсатор,
питания которых используется синусои­ сдвинут по фазе на 90° относительно на­
дальный сигнал с определенной частотой. пряжения (рис. 1.48). Н апример, через
Анализ схем, работающих с сигналами конденсатор емкостью 1 мкФ, подклю­
другой формы, требует большей тщатель­ ченный к силовой сети с напряжением
ности и предполагает использование уже 110 В (эффективное значение) и часто­
известных нам методов (например, мето­ той 60 Гц, будет протекать ток, эффек­
да дифференциальных уравнений или ме­ тивная амплитуда которого определяется
тода преобразования Фурье, при котором следующим образом: I = 110/ [ 1/ ( 2я ■60 х
сигнал представляют в виде ряда синусо­ х 10~6)] = 41,5 мА (эффективное значе­
ид). На практике эти методы редко ис­ ние).
пользуются. Замечание: сейчас нам необходимо вос­
пользоваться комплексными переменны­
1.18. Частотный анализ реактивных схем ми; при желании вы можете пропустить
математические выкладки, приводимые в
Для начала рассмотрим конденсатор, на последующих разделах, и принять на веру
который подается синусоидальное напря­ полученные результаты (они выделены в
жение источника питания (рис. 1.47). Ток тексте). Не думайте, что подробные ал­
в схеме определяется следующим образом: гебраические преобразования, приводи­
/(/) = С (dU/dt) — С о Ut)cos at. мые в этих разделах, необходимы для
понимания всего остального материала
Из этого уравнения следует, что ток книги. Это не так — глубокое знание ма­
имеет амплитуду / и опережает входное тематики похвально, но совсем не обяза­
напряжение по фазе на 90°. Если не при­ тельно. С ледую щ ий раздел, пож алуй,
нимать во внимание соотношение фаз, то наиболее труден для тех, у кого нет дос­
/ = U/(l/a>C). таточной математической подготовки.
Но пусть это вас не огорчает.
Определение напряжения и тока с помо­
т щью комплексных чисел. Только что вы
убедились в том, что в цепи переменного
тока, работающей с синусоидальным сиг­
налом некоторой частоты, возможен сдвиг
по фазе между напряжением и током. Тем
не менее если схема содерж ит только
линейные элементы (резисторы, конден­
саторы, индуктивности), то амплитуда
Основы электроники 39

токов на всех участках схемы пропорцио­ сной переменной символа /, с тем что­
нальна амплитуде питающего напряже­ бы избежать путаницы с током, который
ния. В связи с этим можно попытаться также обозначают символом i ). Итак, в
найти некоторые общие выражения тока, общем случае действующие напряжения
напряжения и сопротивления и обобщить и токи определяются следующим обра­
тем самым закон Ома. Очевидно, что, для зом:
того чтобы определить ток в какой-либо
U(t) = Re (Ue^O = Re (U) cos соt —
точке схемы, недостаточно задать одно — Im(U) sin cot ,
значение — дело в том, что ток характе­
ризуется как амплитудой, так и сдвигом / (t) = Re (le p>) = Re (I) cos a t -
фазы. — Im (I) sin cot.
Конечно, можно определять амплитуды
и фазовые сдвиги напряжений и токов Например, комплексному напряжению
явно, например U(t) = 23,7sin(377?+ 0,38), U = 5j соответствует реальное напряже­
но оказывается, что проще это делать с ние
помощью ком плексны х чисел. Вместо U(t) = Re[5y cos со/ + 5//)sin со/] = 5 sin со/В
того чтобы тратить время и силы на сло­
жение и вычитание синусоидальных фун­ Реактивное сопротивление коцценсаторов
кций, можно легко и просто складывать и индуктивностей. Принятое соглашение
и вычитать комплексные числа. Так как позволяет применять закон Ома для схем,
действующие значения напряжения и тока содержащих как резисторы , так и ко н ­
представляют собой реальные количе­ денсаторы , и индуктивности. О преде­
ственные величины, изменяю щ иеся во лим реактивное сопротивление конден­
времени, следует вывести правило для сатора и индуктивности. Нам известно,
перевода реальных количественных вели­
что U(t) = R e(t/0e '“')- Так как в случае
чин в комплексное представление и на­ кон ден сатора справедливо выраж ение
оборот. Напомним еще раз, что мы име­ / = C(dU/dt) получим
ем дело с частотой синусоидального ко­
лебания со, и сформулируем следующие I(t) = —U0C(o sin со/ =
правила: = Re[ U0eM'/{-j/(aC)] = Rt(U0e ^ /X c)
1. Напряжение и ток представляются т. e. для конденсатора
комплексными величинами U и I. Н апря­
жение U 0 cos (cot + ф) представляется * c = -j/mC,
комплексным числом U0eJv. Напомним, Xc - это реактивное сопротивление кон­
что e fi = c o s0 + j sin 9, где j = V -l . денсатора на частоте со. Конденсатор ем­
2. Для того чтобы получить выражение костью 1 мкФ, например, имеет реактив­
для действующего напряж ения и тока, ное сопротивление — 2653/ Ом на частоте
нужно умножить соответствующие комп­ 60 Гц и —0,16/ Ом на частоте 1 МГц. Для
лексные представления на е*°' и выделить постоянного тока реактивное сопротивле­
действительную часть. Это записывается ние равно бесконечности.
следующим образом: U(t) = R e (U e 'ffl'), Аналогичные рассуждения для индук­
I(t) = Re(Ie>')- Иначе говоря, тивности дают следующий результат:
XL—jaL .
Напряжение в Комплексное
схеме как функция представление Схема, содержащая только конденсаторы
времени ------------------------►U„e = а + jb и индуктивности, всегда обладает мнимым
U (|c o s (со/ + <р) 4
умножить на е импедансом; это значит, что напряжение
и взять действи­ и ток всегда сдвинуты по фазе друг отно­
тельную часть сительно друга на 90° — схема абсолютно
реактивна. Если в схеме присутствуют
(В электронике символ j используется резисторы, то импеданс имеет и действи­
вместо принятого в алгебре для комплек­ тельную часть. Под реактивным сопро-
40 Глава 1

тивлением подразумевается при этом толь­ цепями постоянного тока, вытекает, что
ко мнимая часть импеданса. ток (комплексный) в последовательной
Обобщенный закон Ома. Соглашения, цепи всюду одинаков.
принятые для представления напряжений
и токов, позволяют записать закон Ома в Упражнение 1.16. Используя формулы для импеданса
следующей простой форме: параллельного и последовательного соединения эле­
ментов, выведите формулы (разд. 1.12) для емкости
I = U/Z, и = IZ, двух конденсаторов, соединенных (а) параллельно, (б)
последовательно. Подсказка: допустим, что в каждом
означающей, что напряжение U, прило­ случае конденсаторы имеют емкость С, и С2. Запиши­
женное к схеме с импедансом Z, порож­ те выражение для импеданса параллельно и последо­
дает ток I. Импеданс последовательно и вательно соединенных элементов и приравняйте его
импедансу конденсатора с емкостью С. Найдите С.
параллельно соединенных элементов оп­
ределяется по тем же правилам, что и со­ Попробуем воспользоваться реком ен ­
противление последовательно и парал­ дованным методом для анализа простей­
лельно соединенных резисторов: шей цепи переменного тока, которая со­
Z = Z, + Z2 + Z3 + ... стоит из конденсатора, к которому п ри ­
лож ено напряж ение перм енного тока.
(для последовательного соединения), После этого кратко остановимся на воп­
Z = --------------- ---------------- росе о мощ ности в реактивных схемах
1 /Z , + 1 / Z 2 + I / Z 3 + ... (это будет последний кирпич в фунда­
менте наших знаний) и рассмотрим про­
(для параллельного соединения).
стую , но очен ь п олезную схему R C -
И в заключение приведем формулы для фильтра.
определения импеданса резисторов, кон­ Представим себе, что к силовой сети с
денсаторов и индуктивностей: напряжением 110 В (эффективное зна­
чение) и частотой 60 Гц подключен кон­
1 = R (резистор),
денсатор емкостью 1 мкФ . К акой ток
протекает при этом через конденсатор?
Ъс = —у/м С (конденсатор),
Воспользуемся обобщенным законом Ома:
ZL =j(oL (индуктивность). Z = —у/шС. Следовательно, ток можно
определить следующим образом: I = U/Z.
Полученные зависимости позволяют ана­ Ф аза напряжения произвольна, допустим
лизировать любые схемы переменного тока U = А, т. е. U (() = A cos со/, где амплитуда
с помощью методов, принятых для схем А = 110V2 - 156 В, тогда I = j& C A -
постоянного тока, а именно с помощью — 0,059 sin со/. Искомый ток имеет ампли­
закона Ома и формул для последователь­ туду 59 мА (эффективное значение состав­
ного и параллельного соединения элемен­ ляет 41,5 мА) и опережает напряжение по
тов. Результаты, которые мы получили фазе на 90°. Результат соответствует по­
при анализе таких схем, как, например, лученным ранее выводам. Отметим, что
делитель напряжения, сохраняют почти если бы нас интересовала только ампли­
такой же вид. Так же как и для схем по­ туда тока, то можно было бы не прибе­
стоянного тока, для сложных разветвлен­ гать к комплексным числам: если А = В/С,
ных схем переменного тока справедливы то А = В / С, где А, В, С — амплитуды
законы Кирхгофа; отличие состоит в том, комплексных чисел. То же самое спра­
что вместо токов / и напряжений U здесь ведливо и для произведения (см. упраж­
следует использовать их ком плексны е нение 1.17). Для нашего случая
представления: сумма падений напряже­
1= U/Z = соCU.
ния (комплексного) в замкнутом конту­
ре равна нулю; сумма токов (комплекс­ Иногда этот прием очень полезен.
ных), втекающих в узел, равна сумме то­ Как ни странно, конденсатор в нашем
ков (комплексных), вытекающих из него. примере мощ ность не рассеивает. Его
Из последнего правила, как и в случае с подключение к сети не приводит к увели-
Основы электроники 41

тегралами от тригонометрических функ­


ций, то следующее упражнение поможет
вам доказать это свойство.
Упражнение 1.18. (дополнительное). Докажите, что
схема в среднем за полный период не потребляет мощ­
ности, если протекающий через нее ток сдвинут по
фазе относительно питающего напряжения на 90°.
Как определить среднюю потребляемую
м о щ н о сть для п р о и зв о л ь н о й схемы ?
В общем случае можно просуммировать
произведения UI и разделить сумму на дли­
Рис. 1.49. При использовании синусоидального
тельность истекшего интервала времени.
сигнала ток через конденсатор опережает напряже­ Иными словами.
ние по фазе на 90°. 1т
P = j \U (t)I{t)dt.
чению показаний счетчика электроэнер­ о
гии. Разгадку этой «тайны» вы узнаете, где Т — полный период времени. П рак­
прочитав следующий раздел. А затем мы тически так мощность почти никогда не
продолжим анализ схем, содержащих ре­ определяют. Нетрудно доказать, что сред­
зисторы и конденсаторы , с помощ ью няя мощность определяется следующим
обобщенного закона Ома. выражением:
Упражнение 1.17. Докажите, что если А = ВС, то Р = Re(U*I) = Re(UI*),
А = ВС, где А, В, С — амплитуды комплексных чи­
сел. Подсказка: представьте каждое комплексное где U и I — эффективные комплексные
число в форме А = Аё'. значения напряжения и тока.
Рассмотрим пример. Допустим, что в
Мощность в реактивных схемах. М гно­
предыдущей схеме конденсатор питается
венное значение мощности, потребляемой
синусоидальным напряжением, эф ф ек­
любым элементом схемы, определяется
тивное значение которого равно 1 В. Для
произведением Р = UL. Однако в реак­
простоты будем выполнять все преобра­
тивных схемах, где напряжение U и ток
зования с эфф ективны м и значениями.
/ связаны между собой не простой про­
Итак: U = 1, I = U/(//coQ, Р = Re [UP*] =
порциональной зависимостью, просто пе­
= Re (ушС) = 0. Мы получили, что средняя
ремножить их нельзя. Дело в том, что мо­
мощ ность, к ак и утверждалось, равна
гут возникать странные явления, напри­
нулю.
мер, знак произведения может изменяться
А теперь рассмотрим схему, показанную
в течение одного периода сигнала пере­
на рис. 1.50. Выполним ряд преобразо­
менного тока. Такой пример показан на
ваний:
рис. 1.49. На интервалах А и С на кон­
денсатор поступает некоторая мощность Z = R -j/m C ,
(правда, скорость ее изменения перемен­ U = u0,
на), и благодаря этому он заряжается: на­
капливаемая конденсатором энергия уве­ I = U /Z = UJ[R - (//<оС)] = ЩЯ +
личивается (мощность —это скорость из­ + U/nQVIR 2+ 0Ло2с 2)],
менения энергии). На интервалах В и D Р = Re(UI*) = U02R /[R 2 + (1/ю2С 2)].
потребляемая мощность имеет отрицатель­
ный зн ак - конденсатор разряж ается.
Средняя мощность за период для нашего
примера равна нулю; этим свойством об­
ладают все реактивные элементы (индук­
тивности, конденсаторы и всевозможные
их комбинации). Если вы знакомы с ин­ Рис. 1.50.
42 Глава 1

В третьей строке преобразований при оп­


ределении тока I мы умножили числитель
и знаменатель на комплексное число, со­
пряженное знаменателю, для того чтобы
получить в знаменателе действительное
число. Полученная величина меньше, чем
произведение амплитуд U и I; ее отноше­
ние к этому произведению называют ко­
эффициентом мощности:
|U| |I| = U //[R i + (1/со2С2)]1''2,
Рис. 1.51. Обобщенная схема делителя напряже­
ко эф ф и ци ен т мощность ния: пара электрических цепей с произвольным им­
МОЩНОСТИ — | у || I | — педансом.

R к последовательной RL-цепи делает коэффициент


мощности этой цепи равным единице. Затем рас­
[R2+(l / ® С 2)]'/2 смотрите параллельную цепь и параллельно под­
ключенный конденсатор.

Коэффициент мощности — это косинус Делители напряжения: обобщение. Про­


угла, определяющего сдвиг фаз напряже­ стейший делитель напряжения (рис. 1.5)
ния и тока, он лежит в диапазоне от 0 (для состоит из пары последовательно соеди­
реактивной схемы) до 1 (для резистив­ ненных резисторов. Входное напряжение
ной схемы). Если коэффициент мощ но­ измеряется в верхней точке относительно
сти меньше 1, то это значит, что в схеме земли, а выходное — в точке соединения
присутствует реактивный элемент. резисторов относительно земли. От про­
стейшего резистивного делителя перейдем
Упражнение 1.19. Докажите, что вся средняя мощ­ к более общей схеме делителя, если один
ность предыдущей схемы рассеивается на резисторе.
Для того чтобы решить эту задачу, нужно определить или оба резистора заменим на конденса­
величину отношения U2rJR. Определите, чему будет тор или индуктивность, как на рис. 1.51
равна эта мощность в ваттах, если цепь, состоящая из (в более сложной схеме присутствуют и
последовательно соединенных конденсатора емкостью R, и L, и Q . Вообще говоря, в таком
1 мкФ и резистора сопротивлением 1 кОм, подключе­
на к силовой сети с эффективным напряжением
делителе отношение Utm /U m не является
110 В (частота 60 Гц). постоянной величиной, а зависит от час­
тоты. Анализ схемы выполняется без вся­
Коэффициент мощности играет немало­ ких хитроумных приемов:
важную роль в распределении больших мощ­
ностей, так как реактивные токи не пере­ J — и вх/г„ ол„,
дают нагрузке никакой полезной мощнос­ 2 полн= Z l + Z 2>

ти, зато вызывают нагрев в сопротивлениях


проводов генераторов и трансформаторов UB= z 2 = U X[Z2/(Z, + z 2).
(температура нагрева пропорциональна PR). Не будем сосредоточивать внимание на
Бытовые потребители электроэнергии пла­ полученном результате, рассмотрим луч­
тят только за «действительную» потребляе­ ше некоторые простые, но очень важные
мую мощность [Re(UI*)], а промышленные примеры.
потребители — с учетом коэф ф ициента
мощности. Вот почему большие предпри­ 1.19. ^С-фильтры
ятия для погашения влияния индуктивных
реактивных сопротивлений производствен­ Благодаря тому что импеданс конденса­
ного оборудования (моторов) сооружают тора, равный Z c = —j / a С, зависит от час­
специальные конденсаторные блоки. тоты, с помощью конденсаторов и рези­
Упражнение 1.20. Покажите, что последователь­ сторов можно строить частотно-зависимые
ное подключение конденсатора емкостью С = l/o 2L делители напряжения, которые будут про-
Основы электроники 43

О
U

I
Рис. 1.52. Фильтр высоких частот.
ТI
пускать только сигналы нужной частоты, Рис. 1.55. Частотная характеристика фильтра
а все остальные подавлять. В этом раз­ высоких частот.
деле вы познакомитесь с примерами про­
стейших ЛС-фильтров, к которым мы бу­ И так, если не принимать во внимание
дем неоднократно обращаться в дальней­ сдвиг фаз, а рассматривать только м о­
шем. В гл. 5 и приложении 3 описаны дули комплексных амплитуд, то «отклик»
более сложные фильтры. схемы будет определяться следующим
Фильтры высоких частот. На рис. 1.52 образом:
показан делитель напряжения, состоящий
из конденсатора и резистора. Согласно и*ш= £/хд а 2 + ( 1/о>2с 2) р =
закону Ома для комплексных величин, = Um 2 n fR C /\\ + Q n f R C y y * .

j _ __ _____ t / BX____ _ UBx \R + ( j / &С ) ] График этой зависимости представлен


Z„„m R-(j/<£>C) R 2 + l/c o 2C 2 на рис. 1.55. Такой же результат мы бы
получили, если бы определили отноше­
(Окончательный результат получен пос­ ние модулей импедансов как в упражне­
ле умножения числителя и знаменателя на нии 1.17 и в примере перед этим упраж­
комплексное число, сопряженное знаме­ нением; числитель представляет собой
нателю.) Итак, напряжение на резисторе модуль импеданса нижнего плеча делите­
R равно ля R, а знаменатель - модуль импеданса
¥Т ту _ | п _ U qx + U /o)C)]R последовательного соединения R и С.
* R2+ l/a 2C2 К ак вы видите, на высоких частотах
Чаше всего нас интересует не фаза, а выходное напряж ение приблизительно
амплитуда 1/вых: равно входному (со > 1/R C ), а на низких
частотах выходное напряжение уменьша­
Uвых = (U
v вых
U*в ы х)'/2
'
= ется до нуля. Мы пришли к важному ре­
UBXR /[R 2 + (1/ш2С 2)]'/2. зультату, запомните его. Подобная схе­
ма, по понятным причинам, называется
Сравните полученный результат с вы­ фильтром высоких частот. На практике
ражением для резистивного делителя: ее используют очень широко. Например,
Uвых = Uвх R,/(R,
К ' I
+ R,). в осциллографе предусмотрена возмож­
ность связи по переменному току между
Векторное представление импеданса исследуемой схемой и входом осцилло­
ЯС-цепи (рис. 1.53) показано на рис. 1.54. графа. Эта связь обеспечивается с помо­
щью фильтра высоких частот, имеющего
JZ перегиб характеристики в области 10 Гц
(связь по переменному току используют
для того, чтобы рассмотреть небольшой
сигнал на фоне большого напряж ения
п о сто ян н о го тока). И н ж ен еры ч асто
Zmll =R-j/aC пользуются понятием «точки излома» —
|^ ™ | = > г + (1/® гс г) 3 дБ для фильтра (или любой другой схе­
0 - arctg [(-1/(bQ/R] мы, которая ведет себя как фильтр)!
Рис. 1.53. Рис. 1.54. В случае простого /?С-фильтра высоких
44 Глава 1

0,01 мкФ
— II—
V
f 1,0 кОм

I
Рис. 1.57.
100Гц 1кГц ЮкГц 100кГц 1МГц 10МГц 100МГЦ Рис. 1.58. Фильтр низких частот.
Частота

чтобы все нужные частоты (в данном слу­


чае 20 Гц—20 кГц) поступали на вход без
потерь (без деления на входе).
Часто, например при конструировании
фильтров, возникает необходимость оп­
ределить импеданс конденсатора на не­
которой частоте. На рис. 1.56 представ­
лен очень полезный график, охватываю­
щий большой диапазон емкостей и частот
для зависимости |Z| = 1/2л//С.
В качестве примера рассмотрим фильтр,
показанный на рис. 1.57. Это фильтр вы­
соких частот с точкой перегиба 3 дБ на
частоте 15,9 кГц. Импеданс нагрузки, под­
б Частота ключаемой к фильтру, должен быть зна­
чительно больше 1 кОм, иначе нагрузка
Рис. 1.56. а — Изменение реактивного сопротивле­ будет искаж ать выходное напряж ение
ния индуктивностей и конденсаторов в зависимости фильтра. Источник сигнала должен обес­
от частоты. Все декады одинаковы и отличаются печивать возможность подключения нагруз­
лишь масштабом, б — Увеличенное изображение
одной декады из графика А, график построен для ки 1 кОм без значительной аттенюации
стандартных компонентов, имеющих точность 20%. (потери амплитуды сигнала), иначе фильтр
будет искажать выход источника сигнала.
частот точка излома - 3 дБ определяется Фильтры низких частот. Если поменять
выражением: местами R и С (рис. 1.58), то фильтр
будет вести себя противоположным обра­
/ 3дБ = 1/2nRC.
зом в отношении частоты. Можно пока­
Обратите внимание, что конденсатор не зать, что и шх = [ 1/ ( 1+а>2Л 2С 2)'/2]£/вх.
пропускает ток (f= 0). Самый распрост­ График этой зависимости представлен на
раненный пример использования конден­ рис. 1.59. Такой фильтр называют фильт­
сатора - это использование его в каче­ ром низких частот. Точка — 3 дБ на ха­
стве блокирующего конденсатора постоян­ рактеристике фильтра находится на час-
ного тока. Если возникает необходимость
обеспечить связь между усилителями, то
почти всегда прибегают к помощи кон ­
денсатора. Например, у любого усили­ =5
теля звуковой частоты высокого класса
все входы имеют емкостную связь, так
как заранее не известно, какой уровень
постоянного тока будут иметь входные
сигналы. Для обеспечения связи необ­ Рис. 1.59. Частотная характеристика фильтра
ходимо подобрать R и С таким образом, низких частот.
Основы электроники 45

тоте / = \/2 tzRC. Фильтры низких частот


находят очень широкое применение. На­
пример, их используют для устранения вли­
яния близлежащих радио- и телевизион­
ных станций (550 кГц—800 МГц), на ра­
боту усилителей звуковых частот и других
чувствительных электронных приборов.
Упражнение 1.21. Докажите справедливость вы-
ражения для выходного напряжения фильтра низ­
ких частот.

Выход фильтра низких частот можно


рассматривать в качестве самостоятель­
ного источника сигналов. При исполь­
зовании идеального источника напряже­
ния переменного тока (с нулевым импе­
д ан со м ) ф и л ьтр со сто р о н ы вы хода
низких частот имеет сопротивление R
(при расчетах полных сопротивлений иде­
альный источник сигналов можно заме­
нить коротким замыканием, т.е. его ну­
левым импедансом для малого сигнала).
В выходном импедансе фильтра преоб­ Рис. 1.60. Фазочастотная и амплитудно-частотная
характеристики фильтра низких частот, изображен­
ладает ем костная составляю щ ая, и на
ные в логарифмическом масштабе. В точке 3 дБ
высоких частотах он становится равным фазовый сдвиг составляет 45° и в пределах декады
нулю. Д ля входного си гн ал а ф и льтр изменения частоты лежит в пределах 6” от асимпто­
представляет собой нагрузку, состоящую тического значения.
на низких частотах из сопротивления R и
вырождается в прямую линию с накло­
сопротивления нагрузки, а на высоких ча­
ном — 20 дБ/декада (инженеры предпо­
стотах - нагрузку, равную просто сопро­
читают выражение - 6 дБ/октава»), От­
тивлению R.
метим также, что фазовый сдвиг плавно
На рис. 1.60 изображена также частот­
изменяется от 0° (на частотах ниже точки
ная характеристика фильтра низких час­
перегиба) до 90° (на частотах существен­
тот, но в более общепринятом виде —для
но выше точки перегиба), а в точке —
вертикальной и горизонтальной осей ис­
3 дБ составляет 45°. Практическое пра­
пользован логариф м ический масштаб.
вило для односекционных ЛС-фильтров
Можно считать, что по вертикальной оси
говорит о том, что фазовый сдвиг состав­
откладываются децибелы, а по горизон­
ляет = 6° от асимптот в точках 0, 1/J Б и
тальной - октавы (или декады). На та­
ком графике равные расстояния соответ­ Ю /з д Б -

ствуют равным отнош ениям величин. Упражнение 1.22. Докажите последнее утвержде­
В виде графика изображен также фазо­ ние.
вый сдвиг, при этом для вертикальной оси Возникает интересный вопрос: можно
(градусы) использован линейный масш­ ли сделать фильтр с какой-либо другой
таб, а для оси частот - логарифмический. заданной амплитудной характеристикой и
Такой график удобен для анализа частот­ какой-либо другой заданной фазовой ха­
ной характеристики даже в случае значи­ рактеристикой. Пусть вас это не удивля­
тельной аттенюации (справа); целый ряд ет, но ответить можно только отрицатель­
таких графиков представлен в гл. 5, по­ но — нельзя. Фазовая и амплитудная ха­
священной изучению активных фильтров. р а к т е р и с т и к и д л я всех в о зм о ж н ы х
Отметим, что при значительной аттенюа­ фильтров подчиняются законам причин­
ции изображенная на графике кривая ной связи (т. е. характеристика является
46 Глава 1

следствием определенных свойств, но не рекомендации являются ферритовые бу­


их причиной). сины (маленькие торроидальные сердеч­
Частотные характеристики дифференци­ ники) и дроссели в высокочастотных схе­
рующих и интегрирующих ЛС-цепей. Схе­ мах. Н есколько бусин нанизы ваю т на
ма дифференцирующей ЛС-цепи, кото­ провод, благодаря этому соединение, вы­
рую мы рассмотрели в разд. 1.14, имеет полненное с помощью провода, становит­
такой же вид, как и схема фильтра высо­ ся в некоторой степени индуктивным;
ких частот, приведенная в настоящем раз­ импеданс на высоких частотах увеличи­
деле. Чем же считать такую схему, зави­ вается и предотвращает «колебания» в схе­
сит от того, что вас больше интересует: ме, при этом в отличие от ЛС-фильтра
преобразование сигналов во времени или активное сопротивление схемы не увели­
частотная характеристика. Полученное чивается. Радиочастотный дроссель — это
ранее временное условие правильной ра­ катушка, состоящая из нескольких вит­
боты схемы ( Uam « Um) можно сформу­ ков провода и ферритового сердечника
лировать иначе, применительно к частот­ и используемая с той же целью в радио­
ной характеристике: для того чтобы вы­ частотных схемах.
х о д н о й с и г н а л бы л н е б о л ь ш и м по
сравнению с входным, частота должна 1.20. Векторные диаграммы
быть значительно ниже, чем в точке —
3 дБ. В этом легко убедиться. Д опус­ Для анализа реактивных схем очень удо­
тим, что входной сигнал равен £/х = бен один графический метод. В качестве
sin соt. Воспользуемся уравнением, кото­ п р и м е р а р а с с м о т р и м то т ф а к т , что
рое мы получили ранее для выхода диф ­ /?С-фильтр на ч а с т о т е /= 1/2nRC обеспе­
ференциатора: чивает ослабление на 3 дБ. Этот резуль­
тат мы получили в разд. 1.19. Он спра­
U = RC ~ -й п tat = mRC cos (at. ведлив как для фильтров высоких частот,
dt так и для фильтров низких частот. На
первый взгляд этот факт может показать­
Отсюда г/вых Um, если co/?C « 1, т. е. ся странным, так как на этой частоте ре­
RC < 1/ю. Если входной сигнал содер­ активное сопротивление конденсатора
жит некоторый диапазон частот, то усло­ равно сопротивлению резистора и можно
вие должно выполняться для самых высо­ предположить, что ослабление должно
ких частот входного диапазона. составлять 6 дБ. К такому же результату
Схема интегрирующей ЛС-цепи (разд. вы придете, если замените конденсатор
1.15) имеет такой же вид, как и схема резистором с таким же, как у конденса­
фильтра низких частот: аналогично в хо­ тора, импедансом (напомним, что ослаб­
рошем интеграторе самые низкие часто­ ление 6 дБ означает уменьшение напря­
ты входного сигнала должны существен­ жения вдвое). Дело в том, что нужно учи­
но превышать частоту в точке — ЗдБ. тывать реактивность конденсатора, и в
Индуктивности и конденсаторы. И н ­ этом как раз может помочь векторная диа­
дуктивности, также как и конденсаторы, грамма (рис. 1.61). Вдоль осей отклады­
в сочетании с резисторами образуют схе­ ваются действительная (активная или ре­
мы фильтров низких (или высоких) час­ зистивная) и мнимая (реактивная или
тот. Однако на практике Л 1-фильтры
низких и высоких частот встречаются ред­
L.
ко. Это связано с тем, что индуктивнос­ R R R
ти более громоздки и дороги, а работают \4 5
хуже, чем конденсаторы (их характерис­ -j/mC ------ 2R -----
тики более существенно отличаются от
идеальных). Если есть возможность вы­
бора, то предпочтение лучше отдать кон­ а б
денсатору. Исключением из этой общей Рис. 1.61.
Основы электроники 47

емкостная) компоненты импеданса. На 1.21. «Полюсы» и наклон


такой же плоскости можно изображать в пределах октавы
напряжение (комплексное) в последова­
тельных цепях подобного типа, так как ток Ещ е раз рассм отри м характери сти ку
в такой цепи во всех точках одинаков. ЛС-фильтра низких частот (рис. 1.59).
Итак, в нашей схеме (будем рассматри­ Вправо от точки перегиба графика выход­
вать ее в качестве RC-делителя напряже­ ная амплитуда убывает пропорционально
ния) входное напряжение (приложенное 1 // В пределах одной октавы (одна окта­
к последовательному соединению резис­ ва, как в музыке, соответствует измене­
тора R и конденсатора С) пропорцио­ нию частоты вдвое) выходная амплитуда
нально длине гипотенузы, а выходное на­ уменьшается вдвое, т.е. ослабление сос­
пряжение (снимаемое с резистора R) — тавляет — 6 дБ; следовательно, простой
длине стороны R треугольника. Диаграм­ Л С -фильтр обеспечивает ослабление
ма соответствует такой частоте, при ко­ 6 д Б /о к тав у . М ож но кон струи ровать
торой модуль реактивного сопротивления фильтры, состоящие из нескольких RC-
конденсатора равен R, т . е ./ = \/2nRC. Из секций: тогда получим значения спада
диаграммы видно, что отношение выход­ 12 д Б /о к тав а (для двух Л С -секций),
ного напряжения ко входному составляет 18 дБ/октава (для трех секций) и т.д. Так
1/V2 , т.е. - 3 дБ. обычно описывают поведение фильтра на
Угол между векторами определяет ф а­ частотах, лежащих за пределами полосы
зовый сдвиг между входным и выходным пропускания. Если фильтр состоит, на­
напряжением. Например, в точке 3 дБ пример, из трех /?С-секций, то его часто
выходная амплитуда равна входной, по­ называют «трехполюсным». (Слово «по­
деленной на V2 , а сам выходной сигнал люс» связано с методом анализа схем,
опережает входной по фазе на 45°. Гра­ который не рассматривается в этой кн и ­
фический метод дает наглядное представ­ ге. В нем используется комплексная пе­
ление о величинах амплитуд и соотноше­ редаточная функция на комплексной ча­
нии фаз в RL С-цепях. Например, с по­ стотной плоскости, которую инженеры
мощью этого метода можно определить называют 5-плоскостью.)
характеристику фильтра высоких частот, При работе с многокаскадными фильт­
которую мы уже получили раньше с по­ рами следует учитывать одну особенность.
мощью алгебраических преобразований. Каждый новый каскад существенно на­
гружает предыдущий (так как они иден­
Упражнение 1.23. Пользуясь методом векторной
диаграммы, получите характеристику /JC-фильтра тичны между собой), и это приводит к
высоких частот: тому, что результирующая характеристи­
ка не является простой совокупностью
характеристик составляющих каскадов.
Напомним, что при выводе характерис­
тики простого /?С-фильтра мы услови­
лись, что источник имеет нулевой импе­
Упражнение 1.24. На какой частоте ослабление RC- данс, а нагрузка — бесконечный. Один
фильтра низких частот будет равно 6 дБ (выходное из способов устранения влияния каска­
напряжение равно половине входного)? Чему равен дов друг на друга состоит в том, чтобы
фазовый сдвиг на этой частоте?
Упражнение 1.25. Пользуясь методом векторной диа­ каждый последующий каскад имел зна­
граммы, получите характеристику фильтра низких ча­ чительно больший импеданс, чем преды­
стот, выведенную выше алгебраическим путем. дущий. Еще эффективнее использовать в
качестве межкаскадных буферов активные
В следующей главе (разд. 2.08) приво­ схемы на транзисторах или операционных
дится интересный пример использования усилителях (ОУ), т.е. строить активные
векторной диаграммы для построения ф ильтры . Этим вопросам п освящ ены
фазосдвигающей схемы, дающей посто­ гл. 2—5.
янную амплитуду.
48 Глава 1

1.22. Резонансные схемы R


и активные фильтры

Конденсаторы, которые используются в


специальных схемах, называемых актив­
ными фильтрами, а также в сочетании с
индуктивностями, позволяют «заострять»
частотную характеристику схемы (по
сравнению с пологой характеристикой Рис. 1.64. Узкополосный режекторный /,С'-филыр
ЛС-фильтра характеристика такой схемы («ловушка»).
на некоторой частоте имеет большой рез­
кий всплеск). Подобные схемы находят н ой £ С -ц е п и на резонансной частоте
применение в устройствах, работающих f 0 = \/2n(L C )'/2 стремится к бесконечнос­
в диапазоне звуковых частот и радиочас­ ти - на характеристике при этом значе­
тот. Итак, познакомимся с 1 C -цепями нии частоты должен наблюдаться резкий
(подробному анализу этих цепей и актив­ всплеск. График такой характеристики
ных фильтров посвящены гл. 5 и прило­ представлен на рис. 1.63.
жение 3). В действительности пик характеристи­
Начнем со схемы, представленной на ки сглажен за счет потерь в индуктивнос­
рис. 1.62. На частоте/реактивное сопро­ ти и конденсаторе, однако если схема
тивление LC-контура равно сконструирована хорошо, то эти потери
1 1 1 1 соС _ 1 очень невелики. Если же хотят специаль­
Т Г - = -= - + — = — Г - — = (с о С -------- ) , но сгладить характеристику, то в схему
LC L С J (OXi включают дополнительный резистор, ухуд­
или Z LC=j/[(l/mL) —соС]. шающий добротность контура Q. Такая
схема называется параллельным резонан­
Z.C-контур в сочетании с резистором R сным Z/C-контуром или избирательной
образует делитель напряжения; в связи с схемой. Она ш ироко используется в ра­
тем, что индуктивность и конденсатор диотехнике для выделения из всего час­
противоположным образом реагируют на тотного диапазона сигналов некоторой
изменение частоты, импеданс параллель- частоты усиления (L или С могут быть пе­
ременными, и с их помощью можно на­
страивать резонансный контур на опреде­
ленную частоту). Чем выше импеданс
источника, тем острее пик характеристи­
ки; как вы вскоре убедитесь, в качестве
источника принято использовать устрой­
ство типа источника тока. Коэффициент
добротности Q позволяет оценивать харак­
теристику контура: чем больше доброт­
Рис. 1.62. Резонансная LC-схема: широкополосный ность, тем острее характеристика. Доб­
фильтр. ротность равна резонансной частоте, по­
деленной на ширину пика, определенную
по точкам — 3 дБ. Д ля параллельной
ЛХС-схемы Q = ю0RC.
Другой разновидностью L C -схем
является последовательная £С -схема
(рис. 1.64). Используя выражение для им­
педанса, можно показать, что импеданс
последовательной LC-схемы стремится к
нулю на частоте f 0 = \/2 n (L C )l/1; такая
Рис. 1.63. схема на резонансной частоте или вблизи
Основы электроники 49

рое получают путем выпрямления напря­


жения переменного тока сети (процесс
выпрямления мы рассмотрим дальше в
этой главе). Часть составляющих входно­
го напряжения, которое имело частоту
60 (50) Гц, остается и в выпрямленном
Рис. 1.65. напряжении, от них можно избавиться,
если предусмотреть шунтирование с по­
нее как бы «захватывает» сигнал и зазем­ мощью больших конденсаторов. Ш унти­
ляет его. Эта схема, так же как и преды­ рующие конденсаторы — это как раз те
дущая, применяется в основном в радио­ круглые блестящ ие элементы, которые
технике. На рис. 1.65 изображена ее ха­ можно увидеть внутри большинства элек­
рактери сти ка. Д ля п ослед овательной тронных приборов. О том, как конструи­
RLС-схемы Q = ю0L/R. ровать источники питания, мы поговорим
позже в этой главе, а затем в гл. 6 еще
Упражнение 1.26. Выведите выражение для харак­ раз вернемся к этому вопросу.
теристики (определяющей зависимость отношения
U m от частоты) схемы с последовательным Синхронизация и генерация сигналов. Если
£ С-контуром, показанной на рис. 1.64. через конденсатор протекает постоянный
ток, то при заряде конденсатора формиру­
1.23. Другие примеры использования ется линейно нарастающий сигнал. Это
конденсаторов явление используют в генераторах линей-
но-изменяющихся и пилообразных сигна­
Конденсаторы являю тся необходимым лов, в генераторах функций, схемах разверт­
компонентом не только для фильтров, ки осциллографов, в аналого-цифровых
резонансных, дифференцирующих и ин­ преобразователях и схемах задержки. Для
тегрирующих схем, но и для ряда других синхронизации используют также /?С-цепи,
немаловажных схем. Более подробно мы и на их основе строят цифровые схемы за­
поговорим об этих схемах позже, а сейчас держки (ждущие мультивибраторы). Во
просто ознакомимся с ними. многих областях электроники используют
Шунтирование. Импеданс конденсато­ конденсаторы для синхронизации и гене­
ра уменьшается с увеличением частоты. рации сигналов, и именно об этих приме­
На этом основано использование конден­ нениях конденсаторов вы более подробно
сатора в качестве шунта. Бывают такие узнаете из гл. 3, 5, 8 и 9.
случаи, что на некоторых участках схемы
должно присутствовать только напряже­ 1.24. Обобщенная теорема Тевенина об
ние постоянного или медленно меняюще­ эквивалентном преобразовании (эквива­
гося тока. Если к тому участку схемы лентном генераторе)
(обычно резистору) параллельно подклю­
чить конденсатор, то все сигналы пере­ Для схем, включающих конденсаторы и
менного тока на резисторе будут устране­ индуктивности, теорема об эквивалентном
ны. Конденсатор выбирают так, чтобы его преобразовании должна быть сформулиро­
импеданс был малым для шунтируемого вана следующим образом: всякая схема,
сигнала. В последующих главах вы встре­ имеющая два вывода и содержащая резис­
тите множество примеров шунтирования торы, конденсаторы, индуктивности и ис­
сигналов с помощью конденсатора. точники сигналов, эквивалентна цепи,
Фильтрация в источниках питания. представляющей собой последовательное
Обычно, говоря о фильтрации в источ­ соединение одного комплексного импедан­
никах питания, имеют в виду накопление са и одного источника сигнала. К ак и
энергии. Практически при фильтрации прежде, эквивалентный импеданс и источ­
п роисходит ш ун ти рован и е си гн ал ов. ник определяют по выходному напряже­
В электронных схемах обычно использу­ нию разомкнутой цепи и по току коротко­
ют напряжение постоянного тока, кото­ го замыкания.
§0 Глава 1

ДИОДЫ И ДИОДНЫЕ СХЕМЫ

1.25. Диоды

Элементы, которые мы рассматривали до


сих пор, относятся к линейным. Это зна­
чит, что удвоение приложенного сигнала
(скажем, напряжения) вызывает удвоение
отклика (скажем, тока). Этим свойством
обладают даже реактивные элементы, кон­
денсаторы и индуктивности. Рассмотрен­
ные элементы являются также пассивны­
ми, т.е. они не имеют встроенного источ­
ника энергии. И, кроме того, все эти
элементы имеют по два вывода.
Диод (рис. 1.66) представляет собой Рис. 1.67. Вольт-амперная характеристика диода.
пассивный нелинейный элемент с двумя
выводами. Вольтамперная характеристи­ прямым током через него, составляет от
ка диода показана на рис. 1.67. (Придер­ 0,5 до 0,8 В. Таким падением напряже­
живаясь принятого нами подхода, не бу­ ния можно пренебречь, и тогда диод мож­
дем объяснять физику явлений, опреде­ но рассматривать как проводник, пропус­
ляющих функционирование этого эле­ кающий ток только в одном направлении.
мента.) К другим важнейшим характеристикам,
На условном обозначении направление отличающим существующие типы диодов
стрелки диода (так обозначают анод эле­ друг от друга, относят: максимальный
мента) совпадает с направлением тока. прямой ток, емкость, ток утечки и время
Например, если через диод в направле­ восстановления обратного сопротивления
нии от анода к катоду протекает ток ве­ (см. табл. 1. 1, в которой приведены ха­
личиной 10 мА, то анод на 0,5 В более рактеристики некоторых типов диодов).
положителен, чем катод; эта разница на­ Прежде чем начинать рассматривать схе­
пряжений называется «прямым напряже­ мы, содержащие диоды, отметим два мо­
нием диода». Обратный ток для диодов мента: 1) диод не обладает сопротивлени­
общего назначения измеряется в наноам­ ем в указанном выше смысле (не подчи­
перах (обратите внимание на разный мас­ няется закону Ома); 2) схему, содержащую
штаб измерений по оси абсцисс для пря­ диоды, нельзя заменить эквивалентной.
мого и обратного тока), и его, как прави­
ло, можно не принимать во внимание до 1.26. Выпрямление
тех пор, пока напряжение на диоде не до­
стигнет значения напряжения пробоя (это Выпрямитель преобразует переменны й
напряжение называют также пиковым об­ ток в постоянный; выпрямительные схе­
ратным напряжением). Для диодов обще­ мы являются самыми простыми и наибо­
го назначения типа 1N914 напряжение про­ лее полезными в практическом отноше­
боя составляет обычно 75 В. (Как прави­ нии диодными схемами (иногда диоды
ло, на диод подают такое напряжение, даже называют вы прямителями). П ро­
которое не может вызвать пробой, ис­ стейшая выпрямительная схема показана
ключение составляет упомянутый ранее на рис. 1.68. Символ «Перем.» исполь­
зенеровский диод.) Чаще всего падение зуется для обозначения источника пере­
напряж ения на диоде, обусловленное менного напряжения; в электронных схе­
мах он обычно используется с трансфор­
Анод Катод матором, питающимся от силовой линии
переменного тока. Для синусоидального
Рис. 1.66. Д и о д . входного напряжения, значительно пре-
Диоды
Средние значения за Время восста­
неограниченный новления
интервал времени Пиковые значения обратного
сопротивле­
4*<макс> t/^ , В '„р.»’ мА и п р я м,' В Лр.»’ А ния, не Емкость Класс Примеча
макс.), Ва> мкА (10 В), пФ
45 1 пА при 20 В 0,8 5 - - - 0,8 Самый малый ток /rfip Siliconix
30 0,001 — 1,1 0,05 — 1,2 Очень малый ток 1фр 1 пА пр
10 иА п
30 10 пА при 10 В 0,8 1 1,1 0,03 - 0,8 То же Intersil,
150 3 0,7 10 1,0 0,2 3000 8,0 Малый ток / Лр 1 пА пр
75 5 . 0,75 10 1,1 0,1 4 1,3 Сигнальный диод широко­ Промыш
го применения дарт, ан
40 10000 0,4 1000 1,1 20 - 50 1 Мощный диод Шоттки 1 Для про
40 20000 0,45 3000 1,3 50 180 > J монтаж
60 10 0,4 1 0,7 0,01 0 1,0 Диод Шоттки: малое напря[-
жение Uпрям
75 50 <1,0 206> 2 0,6 Сигнальный диод, имеет 10 пФ п
малое прямое падение на­
пряжения
75 50 0,6 1 - 4 1,5 Управляемое напряжение
иты
п рям

100 50 0,9 1000 2.3 25 3500 15 Выпрямительный на 1 А Промыш


1000 50 0,9 1000 2.3 25 5000 10 па из 7
400 50 1,1 5000 2,0 50 2500 45 Выпрямительный на 5 А Для про
тажа
50 1000 1,1 40000 1,3 100 Выпрямитель на большие Выпрям
токи вополож
ности IN
значение допустимого периодического пикового напряжения при 25 С и токе утечки 10 мкА.
максимальный обратный ток при напряжении (макс.) и температуре окружающей среды 100 °С.
ды IN 1183А и IN 1183RA «реверсированы» конструктивно —у одного на корпусе «+», у другого — «—». — Прим. ред.
52 Глава 1

-О----- напряжением диодов. В рассматриваемой


-о — W - I схеме два диода всегда подключены по­
I
Перем.
I*1"! п следовательно к входу; об этом следует
!i-^'Л помнить при разработке низковольтных
I
источников питания.
- о ------1
Рис. 1.68. Однополупериодный выпрямитель. 1.27. Фильтрация в источниках питания
U
Выпрямленные сигналы, полученные в

Г\ /■
предыдущем разделе, еще не могут быть
использованы как сигналы постоянного
тока. Дело в том, что их можно считать
Рис. 1.69. сигналами постоянного тока только в том
отношении, что они не изменяют свою
вышающего прямое напряжение диода полярность. На самом деле в них при­
(обы чно в вы прям ителях использую т сутствует большое количество «пульса­
кремниевые диоды, для которых прямое ций» (периодических колебаний напряже­
напряжение составляет 0,6 В), выходное ния относительно постоянного значения),
напряжение будет иметь вид, показанный которые необходимо сгладить, для того
на рис. 1.69. Если вы вспомните, что чтобы получить настоящее напряжение по­
диод —это проводник, пропускающий ток стоянного тока. Для этого схему выпря­
только в одном направлении, то нетруд­ мителя нужно дополнить фильтром низ­
но понять, как работает схема выпрями­ ких частот (рис. 1.72). Вообще говоря,
теля. Представленная схема называется последовательный резистор здесь не ну­
однополупериодным выпрямителем, так как жен, и его, как правило, не включают в
она использует только половину входно­ схему (если же резистор присутствует, то
го сигнала (половину периода). он имеет очень маленькое сопротивление
На рис. 1.70 представлена схема двух- и служит для ограничения пикового тока
полупериодного выпрямителя, а на рис. выпрямителя). Дело в том, что диоды пре­
1.71 показан ее выходной сигнал. Из гра­ дотвращают протекание тока разряда кон­
фика видно, что входной сигнал исполь­ денсаторов, и последние служат скорее
зуется при выпрямлении полностью. На как накопители энергии, а не как элемен­
графике выходного напряжения наблюда­ ты классического фильтра низких частот.
ются интервалы с нулевым значением Энергия, накопленная конденсатором, оп­
напряжения, они обусловлены прямым ределяется выражением W = 1/2 CU1. Если
емкость С измеряется в фарадах, а напря­
жение (U — в вольтах, то энергия W будет
измеряться в джоулях (в ваттах в 1 с).
Конденсатор подбирают так, чтобы выпол­
нялось условие RuС » 1 / / (где / — частота
пульсаций, в нашем случае 120 Гц). При

Рис. 1.70 Двухполупериодный мостовой


выпрямитель.
Н агрузка
U

Рис. 1.71. Рис. 1.72.


Основы электроники 53

Двойная амплиту­ ряде, то результат получим неправильным


да пульсаций Выходной сигнал
I к фильтру подклю-
по следующим причинам:
_L чена нагрузка 1. Разряд конденсатора описывается экс­
/ \ \ Выходной сигнал поненциальной зависимостью только в
/ \ / \ в отсутствие том случае, если нагрузка резистивна; в
/ \ / \ / конденса- большинстве случаев это не так. Часто на
1_____________ л / ______________\ _ / тора
t
выходе выпрямителя устанавливают ста­
билизатор напряжения, который обеспе­
Рис. 1.73. Определение напряжения пульсаций чивает постоянство выпрямленного напря­
источника. жения — он выступает в роли нагрузки,
через которую протекает постоянный ток.
этом происходит ослабление пульсаций за 2. Для источников питания используют,
счет того, что постоянная времени для раз­ как правило, конденсаторы с точностью
рядки конденсатора существенно превыша­ 20% и более. При разработке схем следу­
ет время между перезагрузками. В следую­ ет учитывать разброс параметров компо­
щем разделе мы поясним это утверждение. нентов и для страховки производить рас­
Определение напряжения пульсаций. чет для наиболее неблагоприятного соче­
Приблизительно определить напряжение тания их значений.
пульсаций нетрудно, особенно если оно В таком случае, если считать, что в на­
невелико по сравнению с напряжением чальный момент разряд конденсаторов
постоянного тока (рис. 1.73). Нагрузка происходит по линейному закону, прибли­
вызывает разряд конденсатора, который жение будет весьма точным, особенно если
происходит в промежутке между циклами пульсации невелики. Неточности прибли­
(или половинами циклов для двухполупе- жения приводят лишь к некоторой пере­
риодного выпрямления) выходного сиг­ страховке — они проявляются в завыше­
нала. Если предположить, что ток через нии расчетного напряжения пульсаций по
нагрузку остается постоянным (это спра­ сравнению с его истинным значением.
ведливо для небольш и х п у льсац и й ),
то AU = (//О Д ? (н ап ом н и м , что / = Упражнение 1.27. Разработайте схему двухполупе­
риодного выпрямителя, обеспечивающего на выходе
= C(dU/dt). Подставим значение 1 //(и ли напряжение постоянного тока с амплитудой 10 В. На­
1/ 2/ для двухполупериодного выпрямле­ пряжение пульсаций не должно превышать 0,1В (двой­
ния) вместо At (такая замена допустима, ной амплитуды). Ток в нагрузке составляет 10 мА.
так как конденсатор начинает снова за­ Выберите соответствующее входное напряжение пе­
ряжаться меньше, чем через половину ременного тока, учитывая, что падение напряжения
на диоде составляет 0,6 В. При расчете правильно за­
цикла). Получим дайте частоту пульсаций.
AU= I н агр'*'I f С
1.28. Схемы выпрямителей для источников
(однополупериодное выпрямление), питания
AU = 1н а г р/2
' J

Двухполупериодная мостовая схема. На
(двухполупериодное выпрямление).
рис. 1.74 показана схема источника пита­
(Наш преподавательский опыт говорит о ния постоянного тока с мостовым вы­
том, что студенты любят заучивать эти урав­ прямителем, который мы только что рас­
нения! Неофициальный опрос, проведен­ смотрели. Промышленность изготавлива­
ный авторами, показал, что из каждых двух ет мостовые схемы в виде функциональ­
опрошенных инженеров два не помнят эти ных модулей. Маленькие мостовые мо­
уравнения. Так что не трудитесь напрасно дули рассчитаны на предельный ток 1 А
над бесполезным заучиванием, а лучше на­ и напряжение пробоя от 100 до 600 В,
учитесь выводить эти зависимости.) а иногда до 1000 В. Для больших мосто­
Если воспользоваться экспоненциаль­ вых выпрямителей предельный ток равен
ной функцией, определяющей изменение 25 А и выше. В табл. 6.4 приведены пара­
напряжения на конденсаторе при его раз­ метры нескольких типов таких модулей.
54 Глава 1

Е
2,0 А
115 В
(перем.
тока)
1 мс

Рис. 76.

Упражнение 1.28. Это упражнение поможет вам ра­


зобраться в механизме нагрева обмотки, пропорцио­
Рис. 1.74. Схема мостового выпрямителя. Значок нального PR, и понять, в чем проявляется недостаток
полярности и электрод в виде дуги служат для обо­ однофазного выпрямителя. На какое предельное ми­
значения поляризованного конденсатора, заряжать нимальное значение тока должен быть рассчитан плав­
его с другой полярностью недопустимо. кий предохранитель, чтобы в цепи мог протекать ток,
изменяющийся согласно графику, показанному на рис.
Двухполупериодный однофазный выпря­ 1.76, и имеющий среднюю амплитуду 1 А? Подсказка:
митель. Схема двухполупериодного одно­ предохранитель «перегорает», когда в цепи начинает
протекать ток, превышающий предельное значение
фазного выпрямителя приведена на рис. тока предохранителя. При этом в предохранителе рас­
1.75. Выходное напряжение здесь в 2 раза плавляется металлический проводник (температура его
меньше, чем в схеме мостового выпрями­ нагрева пропорциональна PR). Допустим, что и в на­
теля. Схема двухполупериодного однофаз­ шем случае температурная постоянная времени для
плавкого предохранителя значительно больше, чем
ного выпрямителя не является эффектив­ период прямоугольных колебаний, т. е. предохрани­
ной с точки зрения использования транс­ тель реагирует на значение I 2, осредненное за несколь­
форматора, так как каж дая половина ко периодов входного сигнала.
вторичной обмотки используется только в
одном полупериоде. В связи с этим ток в Расщепление напряжения питания. Ш и­
обмотке за этот интервал времени в 2 раза роко распространена мостовая однофаз­
больше, чем в простой двухполупериодной ная двухполупериодная схема выпрямите­
схеме. Согласно закону Ома, температура ля, показанная на рис. 1.77. Она позво­
нагрева обмотки пропорциональна произ­ ляет рсщ еп лять н ап ряж ен и е п и тан и я
ведению PR, значит, за время в 2 раза (получать на выходе одинаковые напря­
меньшее нагрев будет в 4 раза больше или жения положительной и отрицательной
в среднем больше по сравнению с эквива­ полярности). Эта схема эффективна, так
лен тн ой д вухп олуп ери одн ой схем ой. как в каждом полупериоде входного сиг-
Трансформатор для этой схемы следует +U
выбирать так, чтобы его предельный ток Вход
был в 1,4 (в V2 ) раз больше, чем у транс­
форматора мостовой схемы, в противном
случае такой выпрямитель будет более до­
рогим и более громоздким, чем мостовой.

Вход
(напряжение
перем.
тока) Рис. 1.77. Формирование двухполярного (расщеплен­
ного) напряжени питания.

Т
Нагрузка

« Л
Рис. 1.75 Двухполупериодный выпрямитель на осно­
ве трансформатора со средней точкой. Рис. 1.78. Удвоитель напряжения.
Основы электроники 55

Вход (напряжение Вход (напряжение Вход (напряжение


перем.тока) перем. тока) перем.тока)

а 6 в
Рис. 1.79. Схемы умножения напряжения: наличие источника с плавающим напряжением в представлен­
ных схемах не обязательно.

нала используются обе половины вторич­ стоянного тока. Кроме того, изменение
ной обмотки. выходного напряжения может быть выз­
Выпрямители с умножением напряжения. вано изменением тока нагрузки, так как
Схема, показанная на рис. 1.78, называ­ трансформатор, диод и другие элементы
ется удвоителем напряжения. Для того обладают конечным внутренним сопро­
чтобы понять, как работает эта схема, тивлением. Иначе говоря, для эквивален­
представьте, что она состоит из двух по­ тной схемы источника питания постоян­
следовательно соединенных выпрямителей. ного тока справедливо соотношение Л > 0.
Фактически эта схема является двухполу- Более правильный подход к разработке
периодным выпрямителем, так как она источника питания состоит в том, чтобы
работает в каждом полупериоде входного с помощью конденсатора уменьшить пуль­
сигнала - частота пульсаций в 2 раза пре­ сации до некоторого уровня (чтобы они
вышает частоту колебаний питающей сети составляли, например, 10% от напряже­
(для сети с частотой 60 Гц, как в США, ния постоянного тока), а затем, для уст­
частота пульсаций составляет 120 Гц). ранения остатков пульсаций, использовать
Разновидности этой схемы позволяют схему с обратной связью. Такая схема со­
увеличивать напряжение в 3 ,4 и более раз. держит управляемый резистор (транзис­
На рис. 1.79 показаны схемы выпрями­ тор), подключаемый последовательно к
телей, обеспечивающие увеличение н а­ выходу схемы, за счет которого уровень
пряжения в 2, 3 и 4 раза, в которых один выходного напряжения поддерживается
конец обмотки трансформатора заземлен. постоянным (рис. 1.80).
Подобные стабилизаторы напряжения
1.29. Стабилизаторы напряжения используют почти повсеместно в качестве
источников питания для электронны х
Путем увеличения емкости конденсато­ схем. В настоящ ее время промы ш лен­
ра можно уменьшить пульсации напря­ ность выпускает стабилизаторы напряже­
жения до требуемого уровня. Такой спо­ ния в виде законченных, готовых к ис-
соб борьбы с пульсациями имеет два не­
достатка:
1. Конденсаторы нужной емкости могут
оказаться недопустимо громоздкими и
дорогими.
2. Даже в том случае, когда пульсации
уменьш ены до пренебреж им о малого
уровня, наблюдаются колебания выход­
ного напряж ения, обусловленные уже
другими причинами, например, измене­
ния входного напряжения сети ведут к Рис. 1.80. Стабилизатор напряжения постоянного
флуктуациям выходного напряжения по­ тока.
56 Глава 1

пользованию модулей. На основе стабили­


затора напряжения можно построить удоб­
ный для работы источник питания, кото­
рому не страшны никакие опасности (ко­
роткие замыкания, перегрев и т. п.) и
характеристики которого удовлетворяют са­
мым высоким требованиям, предъявляемым
к источнику напряжения (например, внут­
реннее сопротивление такого источника
измеряется в миллиомах). Источники пи­
тания постоянного тока со стабилизатора­
ми напряжения мы рассмотрим в гл. 6.
Рис. 1.82. Компенсация прямого напряжения на
диоде в схеме диодного ограничителя сигналов.
1.30. Примеры использования диодов

Выпрямление сигналов. Бывают такие слу­ так называемый обращенный диод с нуле­
чаи, помимо тех, что мы рассмотрели вым прямым напряжением, но его при­
выше, когда сигнал должен иметь только менение ограничено из-за того, что он
одну полярность. Если входной сигнал имеет малое напряжение пробоя). М ож­
не является синусоидальным, то говорить но также воспользоваться схемой, пока­
о его выпрямлении не принято, хотя про­ занной на рис. 1.82. Прямое напряж е­
цесс выпрямления применим и к нему. ние на диоде Д 2 компенсируется за счет
Например, требуется получить последо­ диода Д х, обеспечиваю щ его см ещ ение
вательность импульсов, совпадающих с величиной 0,6 В. Это смещение опреде­
моментами нарастания прямоугольного ляет порог проводимости для Д 2. Ф орми­
сигнала. Проще всего продифференциро­ рование смещения с помощью диода Д
вать прямоугольный сигнал, а затем вы­ (а не с помощью, например, делителя на­
прямить его (рис. 1.81). Следует всегда пряжения) имеет следующие преимущества:
иметь в виду, что прямое напряжение ди­ нет необходимости проводить регулировку
ода составляет приблизительно 0,6 В. На уровня смешения, так как схема обеспечи­
выходе нашей схемы, например, сигнал вает почти идеальную компенсацию; изме­
будет получен лишь в том случае, когда нение прямого напряжения диодов (связан­
двойная амплитуда прямоугольного вход­ ное, например, с изменением температу­
ного сигнала будет не меньше 0,6 В. Это ры) компенсируется и не сказывается на
условие накладывает определенные огра­ работе схемы. В дальнейшем мы еще не
ничения на разработку схемы, но извест­ раз встретим компенсации изменений пря­
ны приемы, с помощью которых их мож­ мого напряжения с помощью согласован­
но преодолеть. Например, можно вос­ ной пары диодов, транзисторов и полевых
п о л ь зо в а т ь с я диодом Ш от т ки, для транзисторов: этот прием очень эффекти­
которого прямое напряжение составляет вен и прост в исполнении.
около 0,25 В (можно также использовать Диодные вентили. Еще одна область при­
менения диодов основана на их способно­
сти пропускать большее из двух напряже­
ний, не оказывая влияния на меньшее.
I I I
Л “M r Схемы, в которых используется это свой­
-N - ство, объединены в семейство логических
_п_п_п_ схем. Рассмотрим схему с резервной бата­
\R1 I I R. реей питания — она используется в устрой­
ствах, которые должны работать непрерыв­
но даже при отключениях питаниях (на­
п р и м ер , точны е э л е к тр о н н ы е часы ).
Рис. 1.81. Схема, показанная на рис. 1.83, включает
Основы электроники 57

+ 15 В От + 1 2 В
Источник
питания до + 15 В
пост, тока Электрон­
О (отфиль­ ные часы
трован) Земля
1,0 кОм 2,0 кОм
■+ 15 В

П - Рис. 1.85.
Батарея
12 В Входной . Выходной
сигнал сигнал
Рис. 1.83. Диодный вентиль ИЛИ с резервной ба­
тареей питания.
Rдел
как раз такую батарею. В отсутствие сбо­ 6 6 7 0м
ев питания батарея не работает, при воз­
никновении сбоя питание на схему начи­ + 5 1
нает поступать от батареи, при этом пере­ Рис. 1.86.
рыва в подаче питания не происходит.
Упражнение 1.29. Измените схему так, чтобы бата­ Упражнение 1.30. Разработайте схему симметрич­
рея заряжалась от источника постоянного тока (в том ного ограничителя, задающего диапазон изменения
случае, разумеется, когда питание есть) током 10 мА сигнала от —5,6 до +5,6 В.
(такая схема нужна для того, чтобы поддерживать Эталонное опорное напряжение можно
заряд батареи).
подавать на ограничитель от делителя на­
Диодные ограничители. В тех случаях, пряжения (рис. 1.85). Если делитель на­
когда необходимо ограничить диапазон пряжения заменить его эквивалентной схе­
изменения сигнала, например напряже­ мой, то исходная схема преобразуется к
ния, можно воспользоваться схемой, по­ виду, представленному на рис. 1.86. Ана­
казанной на рис. 1.84. Благодаря диоду лизируя преобразованную схему, можно
выходное напряжение не может превы­ заключить, что импеданс со стороны вы­
шать значения + 5,6 В, при этом наличие хода делителя напряжения (Яжл) должен
диода никак не сказывается на меньших быть мал по сравнению с сопротивлением
значениях напряжения (в том числе и на R. Когда диод открыт (входное напряже­
отрицательных); единственное условие ние превышает напряжения ограничения),
состоит в том, что отрицательное вход­ выходное напряжение совпадает с напря­
ное напряжение не должно достигать зна­ жением, снимаемым с делителя, при этом
чения напряжения пробоя (например, для нижнее плечо делителя представлено эк­
диода типа 1N914 это значение составля­ вивалентным сопротивлением (рис. 1.87).
ет -7 0 В). Во всех схемах семейства циф ­ Следовательно, для указанных параметров
ровых логических КМ ОП-схем использу­ схемы выходное напряжение для треуголь­
ются входные диодные ограничители. ного входного сигнала будет иметь вид,
Они предохраняют эти чувствительные показанны й на рис. 1.88. Затруднение
схемы от разрушения под действием раз­ здесь возникает в связи с тем, что дели­
рядов статического электричества. тель напряжения не обеспечивает жестко-
1,0 кОм 1,0 кОм
Вход Выход Вход Выход
1 N914
667 0м

+ 5 I
+ 5, 6 В

Рис. 1.81. Диодный ограничитель напряжения. Рис. 1.87.


§8 Глава 1

зуются операционные усилители. Об этих


схемах мы поговорим в гл. 4.
Интересным примером является исполь­
зование ограничителя для восстановления
сигнала по постоянному току в случае ем­
костной связи по п ерем ен ном у току.
Смысл сказанного поясняет рис. 1.89.
Подобные приемы необходимо использо­
вать в схемах, входы которых работают ана­
фиксированного значения эталонного на­ логично диодам (например, это могут быть
пряж ения. Х орош о заф и кси рован н ы й транзисторы с заземленным эмиттером),
опорный эталонный сигнал не «плывет», в противном случае при наличии емкост­
а это значит, что источник такого напря­ ной связи сигнал просто пропадает.
жения обладает небольшим импедансом Двусторонний ограничитель. Еще один
(имеется в виду эквивалентный импеданс). ограничитель показан на рис. 1.90. Эта
На рис. 1.85 показан простой способ, с схема ограничивает «размах» выходного
помощью которого можно «зафиксиро­ сигнала и делает его равным падению на­
вать» схему ограничителя по крайней мере пряжения на диоде, т. е. приблизитель­
для высокочастотных сигналов —для это­ но 0,6 В. Может показаться, что это —
го к резистору 1 кОм нужно подключить очень малое значение, но если следую­
шунтирующий конденсатор. Например, щим каскадом схемы является усилитель
конденсатор емкостью 15 мкФ с одним с большим коэффициентом усиления по
заземленным выводом на частотах выше напряжению, то входной сигнал для него
1 кГц уменьшает импеданс со стороны всегда должен быть немногим больше чем
входа делителя до значения ниже 10 Ом. 0 В, иначе усилитель попадет в режим
(Аналогично можно подключить конден­ «насыщения» (например, если коэффици­
сатор к Д как показано на рис. 1.82). ент усиления каскада равен 1000, а пита­
Само собой разумеется, эффективность ющее напряжение составляет ± 15 В, то
этого приема тем ниже, чем ниже часто­ входной сигнал не должен превышать ди­
та, а для постоянного тока этот прием апазон ±15 мВ). Описанная схема часто
просто бесполезен. используется в качестве защиты на входе
На практике малое значение импеданса усилителя с больш им коэф ф ициентом
эталонного источника обеспечивается за усиления.
счет использования транзистора или опе­ Диоды как нелинейные элементы. Мы
рационного усилителя. Такой способ, получим достаточно хорошее приближе­
конечно, лучше, чем использование ре­ ние, если будем считать, что ток через
зисторов с очень малым сопротивлением, диод пропорционален экспоненциальной
так как он не приводит к потреблению функции от напряжения на нем при дан­
больших токов и обеспечивает значения ной температуре (точная зависимость меж­
импеданса порядка нескольких Ом и ниже. ду током и напряжением дается в разд.
Следует отметить, что известны и другие 2.10). В связи с этим диод можно и с­
схемы ограничения, в которых исполь­ п ользовать для получения вы ходного

0 - П —П - ^ Л— <Д — Вход I f Выход

Т п
Рис. 1.89. Восстановление сигнала по постоянному
току. Рис. 1.90. Диодный ограничитель.
Основы электроники 59

Рис. 1.91. Логарифмический преобразователь: идея


схемы основана на нелинейной вольт-амперной ха­ Рис. 1.93. Компенсация падения напряжения на
рактеристике диода. диоде в логарифмическом преобразователе.

напряжения, пропорционального лога­ но больше максимального входного тока.


рифму тока (рис. 1.91). Поскольку н а­ При этом условии диод Д, будет открыт.
пряжение U лишь незначительно откло­ В главе, посвященной операционным
няется от значения 0,6 В (под воздействи­ усилителям, мы рассмотрим более совер­
ем колебаний входного тока), входной ток шенные схемы логарифмических преоб­
можно задавать с помощью резистора при разователей и более точные методы тем­
условии, что входное напряжение значи­ пературной ком пенсации. Они п озво­
тельно превышает падение напряжения на ляю т обеспечивать высокую точность
диоде (рис. 1.92). преобразования — ошибка достигает все­
На практике иногда желательно, чтобы го нескольких процентов для шести и бо­
в выходном напряжении присутствовало лее декад изменения входного тока. Но
смещение 0,6 В, обусловленное падени­ для того, чтобы заняться такими схема­
ем напряжения на диоде. Кроме того, ми, необходимо сначала изучить характе­
желательно, чтобы схема не реагировала ристики диодов, транзисторов и опера­
на изменения температуры. Эти требова­ ционных усилителей. Настоящий раздел
ния позволяет удовлетворить метод диод­ служит лишь предисловием к такому изуче­
ной компенсации (рис. 1.93). Резистор Л, нию.
открывает диод Д 2 и создает в точке А на­
пряжение, равное — 0,6 В. Потенциал 1.31. Индуктивные нагрузки и диодная
точки В близок к потенциалу земли (при защита
этом ток /вхстрого пропорционален напря­
жению Um). Если два одинаковых диода Что произойдет, если разомкнуть пере­
находятся в одинаковых температурных ключатель, управляю щ ий током через
условиях, то напряжения на них полнос­ индуктивность? Индуктивность, как из­
тью компенсируют друг друга, за исклю­ вестно, характеризуется следующим свой­
чением, конечно, той разницы, которая ством: U = L {d l/d t), а из этого следует,
обусловлена входным током, протекаю­ что ток нельзя выключить моментально,
щим через диод Д х, и которая определя­ так как при этом на индуктивности по­
ет выходное напряжение. Для этой схе­ явилось бы бесконечное напряж ение.
мы резистор Л, следует выбирать таким, На самом деле напряжение на индуктив­
чтобы ток через диод Д 2 был значитель- ности резко возрастает и продолжает уве­
R личиваться до тех пор, пока не появится
Uвх ^ ^
ток. Электронные устройства, которые
( » 0,6 В) управляю т индуктивны ми нагрузками,
могут не выдержать такого роста напря­

I жения, особенно это относится к компо­


нентам, в которых при некоторых значе­
ниях напряж ения наступает «пробой».
Рис. 1.92. Рассмотрим схему, представленную на
60 Глава 1

Когда переключатель замкнут, диод сме­


щен в обратном направлении (за счет па­
дения напряжения постоянного тока на
обмотке катушки индуктивности). При
размыкании переключателя диод откры­
вается и потенциал контакта переключа­
теля становится выше потенциала поло­
Искра жительного питающего напряж ения на
величину падения напряжения на диоде.
Диод нужно подобрать так, чтобы он вы­
держивал начальный ток, равный току,
Рис. 1.94. Индуктивный «бросок». протекающему в установившемся режиме
через индуктивность; подойдет, например
рис. 1.94. В исходном состоянии пере­ диод типа 1N4004.
ключатель замкнут и через индуктивность Единственным недостатком описанной
(в качестве которой может выступать, на­ схемы является то, что она затягивает за­
пример, обмотка реле) протекает ток. тухание тока, протекающего через катуш­
Когда переключатель разомкнут, индук­ ку, так как скорость изменения этого тока
тивность «стремится» обеспечить ток меж­ пропорциональна напряжению на индук­
ду точками Л и В, протекающий в том же тивности. В тех случаях, когда ток дол­
направлении, что и при замкнутом пе­ жен затухать быстро (например, быстро­
реключателе. Это значит, что потенциал действующие контактные печатающие ус­
точки В становится более положительным, тройства, быстродействующие реле и т. д.),
чем потенциал точки А. В нашем случае раз­ лучший результат можно получить, если
ница потенциалов может достичь 1000 В, к катушке индуктивности подключить ре­
прежде чем в переключателе возникнет зистор, подобрав его так, чтобы величи­
электрическая дуга, которая и замкнет на U + IR не превышала максимального
цепь. При этом укорачивается срок служ­ допустимого напряжения на переключа­
бы переключателя и возникают импульс­ теле. (Самое быстрое затухание для дан­
ные наводки, которые могут оказывать ного максимального напряжения можно
влияние на работу близлежащих схем. получить, если подключить к индуктив­
Если представить себе, что в качестве пе­ ности зенеровский диод, который обес­
реключателя используется транзистор, то печивает затухание по линейному, а не по
срок службы такого переключателя не уко­ экспоненциальному закону.)
рачивается, а просто становится равным Диодную защиту нельзя использовать
нулю! для схем переменного тока, содержащих
Чтобы избежать подобных неприятнос­ индуктивности (трансформаторы , реле
тей, лучше всего подключить к индуктив­ переменного тока), так как диод будет
ности диод, как показано на рис. 1.95. открыт на тех полупериодах сигнала, ког­
да переключатель замкнут. В подобных
случаях рекомендуется использовать так
называемую ЛС-демпфирующую цепочку
(рис. 1.96). Приведенные на схеме зна­
чения Л и С являются типовыми для не­
больших индуктивных нагрузок, подклю­
I замкнII I
чаемых к силовым линиям переменного
I (при раз­ тока. Демпфер такого типа следует пре­
мыкании) дусматривать во всех приборах, работаю­
щих от напряжений силовых линий пере­
менного тока, так как трансф орм атор
представляет собой индуктивную нагруз­
Рис. 1.95. Блокирование индуктивного броска. ку. Для защиты можно также использо-
Основы электроники 61

\
J
100 0м

0,05 мкФ Однополюсный


одно пози ци он­
ный
Однополюсный
двухпозиционный
Двухполюсный
двухпозиционный
Рис. 1.96. ЛС-«демпфер» для подавления индуктив­
ного броска. Рис. 1.98. Основные типы переключателей.

вать такой элемент, как металл оксидный несколько параграфов в нашей книге. На
варистор. Он представляет собой недо­ рис. 1.97 показано несколько распрост­
рогой элемент, похожий по внешнему раненных типов переключателей.
виду на керамический конденсатор, а по Тумблеры. В зависимости от числа по­
электрическим характеристикам —на дву­ люсов или подвижных контактов тумб­
направленны й зенеровский диод. Его леры бывают нескольких типов. Наибо­
можно использовать в диапазоне напряже­ лее распространены одно- и двухпозици­
ний от 10 до 1000 В для значений токов, онны е тумблеры, показанны е на рис.
достигающих тысяч ампер (см. разд. 6.11 1.98. Промышленность выпускает также
и табл. 6.2). Подключение варистора к трехпозиционные тумблеры, среднее по­
внешним выводам схемы позволяет не ложение которых соответствует состоянию
только предотвратить индуктивные на­ «выключено»; они могут переключать од­
водки на близлежащие приборы, но так­ новременно до четырех контактных групп.
же погасить большие всплески сигнала, Тумблерные переключатели работают по
возникающие иногда в силовой линии и принципу «разомкнут-замкнут», это зна­
представляющие серьезную угрозу для чит, что подвижный контакт никогда не
оборудования. замыкает оба вывода переключателя од­
новременно.
ДРУГИЕ ПАССИВНЫЕ КОМПОНЕНТЫ Кнопочные переключатели. Кнопочные
переключатели полезны в тех случаях,
В последующих разделах представлены когда требуется обеспечить мгновенный
разнообразные компоненты, находящие контакт; их схематическое изображение
ш ирокое прим енение при разработке представлено на рис. 1.99 (кнопочны е
электронных схем. Те, кто уже имеет опыт выключатели бывают двух типов: н ор­
разработок, могут безболезненно перей­ мально разомкнутые (H P) и нормально
ти к следующей главе. зам кнуты е (Н З)). В двухпозиционном
переключателе выводы обозначают H P и
1.32. Электромеханические элементы НЗ, в однопозиционном переключателе
двойное обозначение излишне. Кнопоч­
Переключатели. Переключатели имеются ные переключатели всегда работают по
в схемах почти всегда. Несмотря на свою принципу «разомкнут-замкнут». Электро­
простоту, они играют существенную роль техническая промышленность маркирует
в электронной технике и вполне заслужи­ выключатели символами А, В и С, кото­
вают того, чтобы им было посвящ ено рые соответствуют однополюсному одно­

тумблер Кнопочный Поворотный


переключатель переключатель
Рис. 1.99. Кнопочные выключатели (мгновенный
Рис. 1.97. Панельные тумблеры. контакт).
62 Глава 1

позиционному переключателю типа HP, + 12 В


однополюсному однопозиционному пере­
ключателю типа НЗ и однополюсному 1
Звонок
двухпозиционному переключателю соот­
ветственно.
Поворотные переключатели. Существу­
ющие поворотные переключатели очень Сиденье
разнообразны по своей конструкции; они
имеют различное число полюсов (ламе­
лей) и рассчитаны на большое число по­
Т
I Г
зиций. Поворотные переключатели мо­ Левая Правая
гут быть зам ы каю щ ими (работаю т по дверца J Л дверца
принципу «замкнут-разомкнут») и неза­
мыкающими (работают по принципу «ра-
зомкнут-замкнут»), причем очень часто Рис. 1.100.
эти два типа сочетаются в одном пере­
ключателе. Замыкающие переключатели схема, с помощью которой можно решить
используют в тех случаях, когда схема в поставленную задачу. Если открыта одна
промежуточном положении переключа­ ИЛИ другая дверца И замкнут переключа­
теля должна представлять собой замкну­ тель, связанный с сиденьем, то включает­
тый контур, при наличии разомкнутых ся звонок. Союзы ИЛИ, И имеют здесь
входов состояние схемы непредсказуемо. смысл операций булевой логики. К этому
Незамыкающие переключатели использу­ примеру мы еще вернемся в гл. 2 и в гл.
ют, например, для подключения несколь­ 8, когда будем рассматривать транзисторы
ких шин к одной общей, при этом не до­ и цифровые логические схемы.
пускается соединения отдельных ш ин На рис. 1.101 показана классическая
между собой. схема с выключателем, которая исполь­
Другие типы переключателей. Помимо зуется для включения и выключения све­
основных типов переключателей, пере­ та в комнате с помощью выключателей,
численных выше, промышленность вы­ установленных у двух дверей комнаты.
пускает и некоторые специальные пере­ Упражнение 1.31. Не всякий разработчик элект­
клю чатели, наприм ер переклю чатели, ронных схем знает то, что известно любому электро­
принцип действия которых основан на монтеру — как сделать такое приспособление, чтобы
эффекте Холла, магнитоуправляемые ре­ можно было включать и выключать свет с помощью
ле, язычковые переключатели и др. Все N выключателей, где N — произвольное число. По­
думайте, как соответствующим образом обобщить
переключатели характеризуются предель­ схему, представленную на рис. 1.101. Для решения
ными значениями токов и напряжений; задачи потребуется два однополюсных двухпозици­
для небольшого тумблерного переключа­ онных переключателя и N — 2 двухполюсных двух­
теля предельное значение напряжения позиционных. (Подсказка: сначала придумайте, как
составляет 150 В, а предельное значение с помощью двухполюсного двухпозиционного пере­
ключателя замкнуть пару проводов).
тока — 5 А. Если переключатель работает
с индуктивной нагрузкой, то его срок Лампа
службы резко сокращается в связи с тем,
что в момент отклонения нагрузки в вык­
лючателе возникает дуговой разряд.
Примеры схем с переключателями. Рас­
смотрим такую задачу: предупредительный
звонок должен включаться при условии,
что водитель сел за руль машины, а одна
из дверец открыта. К дверцам машины и
к сиденью водителя подключены переклю­ Рис. 1.101. «Строенный» переключатель професси­
чатели типа HP. На рис. 1.100 показана онального электромонтера.
Основы электроники 63

Реле. Реле —это управляемые переклю­ ляет собой штырьевой или плоский («бана­
чатели. Простейшее реле состоит из ка­ новый») контакт и используется в универ­
тушки ^ сердечника — катушка втягивает сальных измерительных приборах, источни­
сердечник, когда по ней протекает ток ках питания и т. п. Такие разъемы легко
достаточной величины. Промышленность достать, они недороги, но, пожалуй, не так
выпускает различные по конструкции типы полезны на практике, как коаксиальные
реле, среди них можно выделить реле «за­ резъемы для экранированного кабеля или
щелки» и реле «ступенчатого» типа (шаго­ многоконтактные разъемы. Разновидностью
вые искатели). Последние послужили ос­ простейшего разъема является зажим («кро­
новой создания телефонных станций, а кодил»), который известен в основном тем,
сейчас они широко используются в играль­ что им неудобно пользоваться.
ных автоматах. Промышленность выпус­ Разъемы для экранированных кабелей.
кает реле постоянного и переменного тока Для предотвращения емкостной связи, а
и для значений напряжения на катушке от также по ряду других причин, о которых
5 до 110 В. Для быстродействующих схем речь пойдет в гл. 13, желательно осуще­
(1 мс) предназначены ртутные и язычко­ ствлять передачу сигнала от одного при­
вые реле, специальные мощные реле ис­ бора к другому по экранированному коа­
пользуются в электропитании; они рабо­ ксиальному кабелю. Наиболее распрост­
тают с токами, достигающими нескольких ранены цилиндрические разъемы (типа
тысяч ампер. Там, где раньше использо­ BNC), которые устанавливают на пере­
вали реле, теперь часто прибегают к помо­ дней панели приборов. Сочленение час­
щи полевых транзисторов, а для напряже­ тей разъема осуществляется при помощи
ний переменного тока используют так на­ резьбового соединения путем поворота на
зываемые реле на твердом теле. Основное 90°, при этом замыкается как экранирую­
назначение реле состоит в дистанционном щая цепь (земля), так и цепь сигнала. Этот
переключении электрических цепей и в пе­ разъем, как и всякий другой, служит для
реклю чении вы соковольтн ы х л и н и й . подключения к прибору кабеля, поэтому
В связи с тем, что электрические схемы он состоит из двух сочленяющихся час­
должны быть изолированы от линий пита­ тей, одна из которых устанавливается на
ющего напряжения переменного тока, реле панели прибора, а другая присоединяет­
используют для переключения питающих ся к кабелю (рис. 1. 102).
напряжений переменного тока, при этом К этому семейству разъемов для коак­
управляющие сигналы должны быть изо­ сиальных кабелей относятся: разъемы типа
лированы. T N C (ближайший родственник разъема
Разъемы. Разъем представляет собой типа BNC, но с резьбой на корпусе), хо­
неотъемлемую (и, как правило, самую роший, но громоздкий разъем типа N,
ненадежную) часть любого электронного миниатюрный разъем типа SMA, субми-
аппарата. Ф ункции разъема состоят в
подаче сигналов на вход прибора и пере­
даче их с его выходов на другие схемы, в
передаче сигналов и питания постоянно­
го тока между различными узлами схемы
прибора. Благодаря разъемам можно за­
менять в приборах отдельные печатные
платы и целые модули, обеспечивая тем
самым гибкость схемной реализации элек­
тронного оборудования. П ром ы ш лен­
ность выпускает самые разнообразные Рис. 1.102. Для экранированного (коаксиального)
разъемы, различающиеся по форме и раз­ кабеля чаще всего используют разъемы типа BNC.
Слева направо: гнездо разъема, соединенное с кабе­
мерам. лем; стандартная вилка, которая устанавливается на
Штеккерные резъемы. Простейший разъем панели прибора; две вилки с изолирующей вставкой;
(однополюсная вилка с гнездом) представ­ Т-образный разъем типа BNC (очень удобная вещь).
64 Глава 1

ниатюрный разъем типа LEMO и SMC, и


разъем типа MHV, представляющий со­
бой разновидность разъема типа BNC,
предназначенную для высоковольтных
цепей. Так называемый граммофонный
разъем, используемый в схемах звуковых
частот, представляет собой яркий пример
плохой конструкции — при соединении
частей разъема сигнальная цепь замыка­
ется раньше, чем экранирующая; более
Рис. 1.103. Некоторые многоконтактные разъемы.
того, конструкция разъема такова, что и Слева направо: малогабаритный D-образный разъем,
экран и рую щ ая, и ц ен трал ьн ая части выпускаемый как для кабелей, так и для установки
разъема, как правило, обеспечивают пло­ на панелях приборов; количество контактов: 9, 15,
хой контакт. А результат плохого кон­ 25, 37 или 50; старый, заслуженный разъем типа
такта вы, без сомнения, слышали! Что­ MS выпускается с разнообразным количеством кон­
бы не отстать, телевизионная промыш ­ тактов и в различном конструктивном оформлении,
ленность выпустила свой собственный некоторые разновидности предназначены для коак­
сиальных кабелей; миниатюрный разъем (типа
плохой стандарт, «коаксиальный разъем» Winchester MRA) с фиксирующими винтами, вы­
типа F - в нем на штырь разъема, соеди­ пускается несколько разновидностей, различающих­
няемого с кабелем, выводится внешний ся габаритными размерами; разъем для печатных
провод коаксиального кабеля, и очень не­ плат, гнездо предназначено для гибкого кабеля.
качественно сделан экран на той части,
которая устанавливается на панели при­
бора. 100 контактов и различное конструктив­
Многоконтактные разъемы. Для элек­ ное оформление. Разъемы можно уста­
тронных приборов очень часто нужны навливать на специальной плате, печат­
многожильные кабели и соответственно ный монтаж которой обеспечивает со­
многоконтактные разъемы. Промышлен­ е д и н е н и е о тд ел ьн ы х п еч атн ы х плат
ность выпускает десятки типов таких устройства. В схемах, состоящ их всего
разъемов. Простейшим является разъем из нескольких печатных плат, могут по­
для 3-жильного провода. К числу наибо­ требоваться вилки разъемов для печатных
лее распространенных относятся также плат и гнезда кабельных разъемов (в гл.
субминиатюрные разъемы типа D из се­ 12 приведены некоторые фотографии, на
рии разъемов Winchester MRA, уже давно которых видны примеры использования
испы танны е и заслуж ивш ие д оверие разъемов).
разъемы типа MS, а также разъемы для
гибкого кабеля (рис. 1.103). Имейте в 1.33. Индикаторы
виду, что некоторые разъемы требуют ос­
торожного обращения, например мини­ Измерительные приборы. Значения напря­
атюрные шестиугольные разъемы, кото­ жения или тока можно определять с по­
рые нельзя ронять на пол, а в некоторых мощью стрелочных показывающих прибо­
нет никакого приспособления, фиксиру­ ров или по цифровым индикаторам. Пос­
ющего взаимное положение частей разъе­ ледние, конечно, более дороги, но они
ма (это относится, например, к разъемам имеют и более высокую точность. Про­
серии Jones 300). мышленность выпускает измерительные
Торцевые разъемы для печатных плат. приборы и первого, и второго типа как
Для печатных плат чаще всего использу­ для токов, так и для напряжений. Вы­
ются торцевые разъемы, состоящ ие из пускаются также уникальные датчики для
гнезда и вилки с позолоченными штырь- приборных досок, которые позволяю т
евыми контактами, устанавливаемой на определять значения уровня громкости (по
торце платы. Выпускаемые промышлен­ децибельной шкале звука), большие зна­
ностью торцевые разъемы имеют от 15 до чен и я н ап ряж ен и я п ерем ен ного тока
Основы электроники 65

(от 105 до 130 В), значения температуры


(с помощью термопары), процентной н а­ Против По часовой
часовой .
грузки мотора, частоты и т. д. В цифро­ стрелки
“ стрелке
вых датчиках часто предусмотрены логи­
ческие выходы, которые позволяют и с­
пользовать показания датчика для ввода в
какой-либо другой прибор. Рис. 1.104. Потенциометр (переменный резистор с
Лампы и светодиоды. Представим себе тремя выводами).
картинку из научно-фантастического филь­
ма — вспышки света, экраны, заполнен­ такт. Потенциометры других типов изго­
ные таинственными и непонятными циф­ товляют из керамических материалов и
рами и символами, жуткие звуки ... И все пластиков; они обладают улучшенными
эти эффекты, за исключением звуковых, характеристиками. Более высоким разре­
создаются с помощью ламп и дисплеев (см. ш ением и более высокой линейностью
разд. 9.10). В качестве индикаторов для обладают многооборотные потенциомет­
лицевых панелей приборов долгое вре­ ры (3,5 или 10 оборотов). В ограничен­
мя использовали небольшие лампы н а­ ном количестве промышленность выпус­
каливания, теперь им на смену приш ли кает также сблокированные потенциомет­
светодиоды. Они ведут себя как обыч­ ры (н еск о л ьк о н езав и си м ы х с е к ц и й ,
ные диоды, но прямое напряж ение для собранных на одной оси) для тех облас­
них составляет от 1,5 до 2,5 В. Когда све­ тей применения, где нужны именно та­
тодиод открыт, через него протекает ток кие потенциометры.
и он излучает свет. Д ля получения дос­ Потенциометры, о которых шла речь,
таточной яркости света обычно требует­ устанавливают чаще всего на лицевых па­
ся ток от 5 до 20 мА. Светодиоды д е­ нелях приборов, внутри же приборов ус­
шевле, чем лампы накаливания, н и ког­ танавливают подстроенные потенциомет­
да не перегорают и бывают трех цветов ры, которые также бывают одно- и мно­
(красные, желтые и зеленые). П оступа­ гооборотными и могут быть установлены
ют в продажу в удобном для использо­ на платах с печатным монтажом. Они
вания виде. используются, например, при калибров­
Светодиоды используются также в циф­ ке прибора, которая выполняется «раз и
ровых дисплеях, например, в калькуля­ навсегда». Полезный совет: не поддавай­
торах находит применение 7-сегментный тесь соблазну установить в схеме поболь­
цифровой дисплей. Для отображения и ше потенциометров. Лучше потратить
букв, и цифр (алфавитно-цифровой дис­ больше сил на разработку, чем на регу­
плей) можно использовать 16-сегментный лировку.
или матричный дисплей. Для маломощ­ На рис. 1.104 показано условное обозна­
ных схем или схем, предназначенных для чение потенциометра. Обозначения «по
работы на улице, лучше всего использо­ часовой стрелке» и «против часовой стрел­
вать дисплей на жидких кристаллах. ки» указывают направление вращения.
И еще один совет по работе с перемен­
1.34. Переменные компоненты ными резисторами: не стремитесь к тому,
чтобы заменить потенциометром резистор
Резисторы. Переменные резисторы или с определенны м сопротивлением . С о ­
потенциометры используют для регулиро­ блазн, конечно, велик — ведь с помощью
вания в схемах, их ручки часто выводят потенциометра можно установить такое
на панели приборов. Наиболее распрос­ значение сопротивления, какое хочется.
траненным является потенциометр типа Вся беда в том, что стабильность потен­
АВ, рассчитанный на мощность до 2 Вт; циометра ниже, чем стабильность хоро­
этот потенциометр изготовлен из того же шего ( 1%) резистора и, кроме того, п о­
материала, что и постоянный композит­ тенциометры не дают хорошего разреше­
ный резистор, и имеет скользящий кон- ния (т. е. с их помощью нельзя точно
66 Глава 1

установить зн ачение соп роти влени я). торой перемещ ается сердечник. Такие
Если на каком-либо участке схемы нуж­ катушки обычно имеют индуктивность от
но установить точное значение сопротив­ нескольких микрогенри до нескольких
ления, воспользуйтесь сочетанием преци­ генри и диапазон настройки 2:1. П ро­
зионного резистора ( 1% и выше) и по­ мышленность выпускает также поворот­
тен ц и о м етр а, п ричем больш ая ч асть ный индуктор (состоит из катушки без
сопротивления должна определяться по­ сердечника и вращающегося скользяще­
стоянным резистором. Например, если го контакта).
нужно получить сопротивление 23,4 кОм, Трансформаторы. П еременные транс­
воспользуйтесь последовательным соеди­ форматоры очень полезны для практичес­
нением постоянного резистора с сопро­ кого применения, особенно те из них,
тивлением 22,6 кОм (точность 1%) и под- которые работают от силовой сети с на­
строечного потенциометра с сопротивле­ пряжением 115 В переменного тока. Их
нием 2 кОм. Можно также использовать называют автотрансформаторами, и они
последовательное соединение нескольких состоят из одной обмотки и скользящего
прецизионных резисторов, в котором са­ контакта. Их называют еще вариаками, а
мый маленький по величине резистор до­ выпускают их такие фирмы, как Techni-
полняет полное сопротивление до нужно­ power, Superior Electric и др. Формируе­
го точного значения. мое ими выходное напряжение перемен­
В дальнейшем вы узнаете, что в неко­ ного тока меняется от 0 до 135 В при
торых случаях в качестве переменных ре­ входном напряжении 115 В, ток нагрузки
зисторов, управляемы х н апряж ением , 1—20 А и выше. А втотрансформаторы
можно использовать полевые транзисто­ нужны для измерительных приборов, на
ры. Транзисторы можно использовать в которые могут влиять колебания в питаю­
качестве усилителей с переменным коэф ­ щем напряжении. Замечание: учтите, что
фициентом усиления, управляемым н а­ выход автотрансформатора не изолирован
пряжением. Все эти идеи могут сослужить электрически от силовой линии, как в
вам добрую службу в будущем, не остав­ случае с трансформатором.
ляйте их без внимания.
Конденсаторы. Переменные конденсато­ ДОПОЛНИТЕЛЬНЫЕ УПРАЖНЕНИЯ
ры имеют, как правило, небольшие ем­
(1) Для делителя напряжения, изображенного на
кости (до 100 пФ) и используются в ра­ рис. 1.106, составьте эквивалентную схему (источ­
диочастотных схемах. Подстроечные кон­ ник тока и параллельный резистор). Покажите, что
денсаторы бываю т двух ти п ов — для выходное напряжение эквивалентной схемы равно
внутрисхемных и внешних регулировок. выходному напряжению реальной схемы при под­
На рис. 1.105 показано условное обозна­ ключении в качестве нагрузки резистора сопротив­
лением 5 кОм.
чение переменного конденсатора. (2) Для схемы, изображенной на рис. 1.107, составьте
Диоды, к которым приложено обратное эквивалентную. Совпадают ли значения эквивалент-
напряжение, можно использовать в каче­
стве переменных конденсаторов, управля­
емых напряжением; такие диоды называ­
ют варикапами, варакторами или парамет­
рическими диодами. Наиболее ш ироко
они используются на радиочастотах, осо­
бенно при автоматической регулировке 10 кОм
частоты, в модуляторах и параметричес­
ких усилителях.
Индуктивности. Переменная индуктив­ 10
кОм
ность представляет собой катушку, в ко­

Рис. 1.105. Переменный конденсатор. Рис. 1.106. Рис. 1.107.


Основы электроники 67

6,3 В
перем. тока (эф ф .)

ШТГ
перем.
тока

Рис. 1.110.
а
Усилитель
вертикального
отклонения {Zix = со)
Конец
щупа

Рис. 1.109. ^ С = >


ных параметров в этом примере и в схеме на 100 пФ 20 Л 1.0
рис. 1.106? (кабель) пФ ММОм
(3) Разработайте схему фильтра звуковых частот, ко­
торый бы подавлял «грохот». Он должен пропус­
кать частоты выше 20 Гц (точка - 3 дБ должна соот­
ветствовать частоте 10 Гц). Считайте, что источник Вход осциллографа
имеет нулевой импеданс (идеальный источник на­
пряжения), а нагрузка (минимальная) имеет сопро­ Рис. 1.111.
тивление 10 кОм (принимая во внимание это усло­
вие, можно выбрать значения Л и С таким образом,
чтобы нагрузка не оказывала существенного влия­ (8) Разработайте схему щупа осциллографа с мас­
ния на работу фильтра). штабным коэффициентом 1:10 (см. приложение А).
(4) Разработайте схему фильтра звуковых частот, ко­ Входной импеданс осциллографа определяется сопро­
торый бы ослаблял шипение иглы (точке — 3 дБ со­ тивлением 1 МОм и параллельной емкостью 20 пФ.
ответствует частота 10 кГц). Источник и импеданс Допустим, что кабель щупа вносит дополнительную
имеют те же параметры, что в упражнении 3. емкость 100 пФ, а все элементы установлены на
(5) Как из резисторов и конденсаторов собрать конце щупа (а не на том конце кабеля, который
фильтр, чтобы он имел такую характеристику, как соединяется с осциллографом) (рис. 1.111). Схема
показанная на рис. 1.108? должна давать ослабление 20 дБ (10) на всех часто­
(6) Разработайте схему широкополосного ДС-филь- тах, включая сигналы постоянного тока. Щуп с
тра (рис. 1.109): частоты^ и / 2 соответствуют спа­ масштабным коэффициентом 1:10 позволяет увели­
ду - 3 дБ. Подберите полные сопротивления так, что­ чить полное сопротивление нагрузки, подключаемой
бы второй каскад не оказывал существенного влия­ к испытуемой схеме, тем самым удается уменьшить
ния как нагрузка на первый каскад. влияние нагрузки на эту схему. Каким входным
(7) Изобразите график выходного напряжения для импедансом (параллельное соединение Л и С) об­
схемы, представленной на рис. 1.110. ладает щуп по отношению к тестируемой схеме?
ГЛАВА 2
ТРАНЗИСТОРЫ

ВВЕДЕНИЕ

Транзистор — это один из основных «ак­ из интегральных схем и подключить его к


тивных» компонентов. Он представляет внешним цепям, необходимо знать вход­
собой устройство, которое может уси­ ные и выходные характеристики каждой
ливать входной сигнал по мощ ности. используемой ИС. Кроме того, транзис­
Увеличение мощности сигнала происхо­ тор служит основой построения межсое­
дит за счет внешнего источника п ита­ динений, как внутренних (между ИС), так
ния. Отметим, что увеличение ам пли­ и внешних. И наконец, иногда (и даже
туды сигнала не является в данном слу­ довольно часто) случается, что подходя­
чае оп р ед ел яю щ и м . Т ак , н а п р и м е р , щей ИС промышленность не выпускает и
повышающий трансформатор —«пассив­ приходится прибегать к схемам, собран­
ный» компонент, такой же как рези с­ ным из дискретных компонентов. К ак вы
тор или конденсатор, обеспечивает уси­ сами вскоре убедитесь, транзисторы сами
ление по напряжению , но не может уси­ по себе очень интересны, и ознакомление
лить сигнал по мощ ности. Устройства, с их работой доставит вам удовольствие.
которые обладают свойством усиления Мы будем рассматривать транзисторы
по мощ ности, характеризуются способ­ совершенно не так, как авторы других книг.
ностью к генерации, обусловленной пе­ Обычно, изучая транзистор, пользуются его
редачей выходного сигнала обратно на эквивалентной схемой и h-параметрами. На
вход. наш взгляд, такой подход сложен и наду­
Изобретателей транзистора когда-то за­ ман. И дело не только в том, что, глядя на
интересовала именно способность устрой­ мудреные уравнения, вы едва ли поймете,
ства усиливать сигнал по мощности. Для как работает схема, скорее всего вы будете
начала они соорудили с помощью тран­ иметь смутное представление о параметрах
зистора усилитель звуковых частот для транзистора, их значениях и, самое глав­
громкоговорителя и убедились, что на ное, диапазонах изменения.
выходе сигнал больше, чем на входе. Мы предлагаем вам другой подход.
Транзистор является неотъемлемой ча­ В этой главе мы построим простую мо­
стью всякой электронной схемы, начиная дель транзистора и с ее помощью созда­
от простейшего усилителя или генерато­ дим несколько схем. К ак только начнут
ра до сложнейшей цифровой вычисли­ проявляться ограничения модели, допол­
тельной машины. Интегральные схемы ним ее с учетом уравнений Эбере—Мол-
(ИС), которые в основном заменили схе­ ла. Полученная таким образом модель даст
мы, собранные из дискретных транзисто­ правильное представление о работе тран­
ров, представляют собой совокупности зистора; с ее помощью вы сможете созда­
транзисторов или других компонентов, вать самые хорошие схемы, не прибегая к
построенные на едином кристалле полу­ большим расчетам. Кроме того, характе­
проводникового материала. ристики ваших схем не будут серьезно за­
Обязательно следует разобраться в том, висеть от таких неуправляемых парамет­
как работает транзистор, даже если вам ров транзистора, как, например, коэф ­
придется пользоваться в основном интег­ фициент усиления по току.
ральными схемами. Дело в том, что, для И наконец, несколько слов о принятых
того чтобы собрать электронное устройство в и н ж ен ерн ой п ракти ке условностях.
Транзисторы 69

Напряжение на выводе транзистора, взя­


тое по отношению к потенциалу земли,
обозначается буквенным индексом (К, Б
или Э): например, (UK— это напряжение
на коллекторе. Напряжение между выво­
дами обозначается двойным индексом,
например, 1/ъэ — это напряжение между Рис. 2.2. Выводы транзистора с точки зрения ом­
базой и эмиттером. Если индекс образо­ метра.
ван двумя одинаковыми буквами, то это —
напряжение источника питания: (С/кк—это (типичные значения приведены в табл.
напряжение питания (обычно положитель­ 2.1). Следует помнить и о предельных зна­
ное) коллектора, 11ээ — напряжение п и ­ чениях других параметров, например, рас­
тания (обычно отрицательное) эмиттера. сеиваемой мощности (/кэ UK3), темпера­
туры, и ъэ и др.
2.01. Первая модель транзистора: 4. Если правила 1-3 соблюдены, то ток
усилитель тока / к прямо пропорционален току / Б и мож­
но записать следующее соотношение:
Итак, начнем. Транзистор — это элект­
ронный прибор, имеющий три вывода 7к = К ъ h = К
(рис. 2.1). Различают транзисторы п - р —п- где /г2|Э — коэффициент усиления по току
и р —п —р -типа. Транзисторы п-р-п-типа (обозначаемый также 0), обычно состав­
подчиняются следующим правилам (для ляет около 100. Токи / к и / э втекают в
транзисторов р —п —р -типа правила сохра­ эмиттер. Замечание: коллекторный ток не
няются, но следует учесть, что полярнос­ связан с прямой проводимостью диода
ти напряжений должны быть изменены на база—коллектор; этот диод смещен в об­
противоположные): ратном направлении. Будем просто счи­
1. Коллектор имеет более положитель­ тать, что «транзистор так работает».
ный потенциал, чем эмиттер. Правило 4 определяет основное свой­
2. Цепи база-эмиттер и база—коллектор ство транзистора: небольш ой ток базы
работают как диоды (рис. 2.2). Обычно управляет большим током коллектора.
диод база-эмиттер открыт, а диод б а з а - Запомните: параметр hm нельзя назвать
коллектор смещен в обратном направле­ «удобным»; для различных транзисторов
нии, т. е. приложенное напряжение пре­ одного и того же типа его величина мо­
пятствует протеканию тока через него. жет изменяться от 50 до 250. Он зависит
3. Каждый транзистор характеризуется также от тока коллектора, напряж ения
максимальными значениями / к, / Б, и U K3. между коллектором и эмиттером и темпе­
За превышение этих значений приходит­ ратуры. Схему можно считать плохой,
ся расплачиваться новым транзистором если на ее характеристики влияет величи­
на параметра А21Э.
Рассмотрим правило 2. Из него следу­
ет, что напряжение между базой и эмит­
тером нельзя увеличивать неограниченно,
так как, если потенциал базы будет пре­
вышать потенциал эмиттера более чем на
0,6—0,8 В (прямое напряжение диода),
Эмиттер Э
то возникнет очень большой ток. Следо­
вательно, в работающем транзисторе н а­
пряжения на базе и эмиттере связаны сле­
д ую щ и м с о о т н о ш е н и е м : UB = U3 +
+ 0,6 В (t/g = U3 + £/бэ). Еще раз уточ­
Рис. 2.1. Условные обозначения транзистора и ним, что полярности напряжений указаны
маленькие транзисторные модули. для транзисторов п —р —п-типа, их следует
70 Глава 2

изменить на противоположные для тран­ ного значения р = 100). В чем же ош иб­


зисторов р —п—р - типа. ка? Дело в том, что правило 4 действует
Обращаем ваше внимание на то, что, лишь в том случае, если соблюдено прави­
как уже отмечалось, ток коллектора не ло 1; если ток коллектора достиг 100 мА,
связан с проводимостью диода. Дело в то падение напряжения на лампе состав­
том, что обычно к диоду коллектор—база ляет 10 В. Для того чтобы ток был еще
приложено обратное напряжение. Более больше, нужно чтобы потенциал коллек­
того, ток коллектора очень мало зависит тора был меньше потенциала земли. Но
от напряжения на коллекторе (этот диод транзистор не может перейти в такое со­
подобен небольшому источнику тока), в стояние. Когда п отенциал коллектора
то время как прямой ток, а следователь­ приближается к потенциалу земли, тран­
но и проводимость диода резко увеличи­ зистор переходит в режим насыщения (ти­
ваются при увеличении приложенного пичные значения напряжения насыщения
напряжения. лежат в диапазоне 0,05—0,2 В, см. прило­
жение Ж) и изменение потенциала кол­
НЕКОТОРЫЕ ОСНОВНЫЕ лектора прекращается. В нашем случае
ТРАНЗИСТОРНЫЕ СХЕМЫ лампа загорается, когда падение напря­
жения на ней составляет 10 В.
2.02. Транзисторный переключатель Если на базу подается избыточный сиг­
нал (мы использовали ток 9,4 мА, хотя
Рассмотрим схему, изображенную на рис. достаточно было бы иметь 1,0 мА), то схе­
2.3. Эта схема, которая с помощью не­ ма не тратит этот избыток; в нашем случае
большого управляющего тока может со­ это очень выгодно, так как через лампу
здавать в другой схеме ток значительно протекает большой ток, когда она нахо­
большей величины, называется транзис­ дится в холодном состоянии (сопротив­
торным переключателем. Его работу по­ л е н и е л ам п ы в хол одн ом со с то ян и и
могают понять правила, приведенные в в 5—10 раз меньше, чем при протекании
предыдущем разделе. Когда контакт пе­ рабочего тока). Кроме того, при неболь­
реключателя разомкнут, ток базы отсут­ ших напряжениях между коллектором и
ствует. Значит, как следует из правила 4, базой уменьшается коэффициент р, а зна­
отсутствует и ток коллектора. Лампа не чит, для того чтобы перевести транзистор
горит. в режим насыщения, нужен дополнитель­
Когда переключатель замкнут, напря­ ный ток базы (см. приложение Ж). И ног­
жение на базе составляет 0,6 В (диод да к базе подключают резистор (с сопро­
база-эм иттер открыт). Падение напря­ тивлением, например, 10 кОм), для того
жения на резисторе базы составляет 9,4 В, чтобы при разомкнутом переключателе
следовательно, ток базы равен 9,4 мА. потенциал базы наверняка был равен по­
Если, не подумав, воспользоваться пра­ тенциалу земли.
вилом 4, то можно получить неправиль­ Этот резистор не влияет на работу схе­
ный результат: / к = 940 мА (для типич- мы при замкнутом переключателе, так как
через него протекает лишь малая доля тока
+10 в (0,06 мА).
При разработке транзисторных переклю­
чателей вам пригодятся следующие реко­
мендации:
1. Сопротивление резистора в цепи базы
лучше брать поменьше, тогда избыточный
базовый ток будет больше. Эта рекомен­
дация особенно полезна для схем, управ­
ляющих включением ламп; так как при
низком значении U K3 уменьшается и ко­
Рис. 2.3. Пример транзисторного переключателя. эф ф иц и ен т (3. О ней следует помнить
Транзисторы 71

одним управляющим сигналом. Еще одно


достоинство транзисторных переключате­
лей состоит в том, что они дают возмож­
ность производить дистанционное «холод­
ное» переключение, при котором на пере­
ключатели поступают только управляющие
сигналы постоянного тока. (Если «гонять»
сами переключаемые мощные сигналы, то
при передаче их по кабелям могут возни­
кать емкостные выбросы, а сигналы могут
сильно ослабляться).
Транзистор в образе человека. Рис. 2.5
Рис. 2.4. При подключении индуктивной нагрузки
следует всегда использовать подавляющий диод. дает представление о некоторых ограни­
чениях, свойственных транзистору. Пред­
и при разработке быстродействующих пе­ ставим себе, что задача человека на рис.
реключателей, так как на очень высоких 2.5 состоит в том, чтобы обеспечивать
частотах (порядка мегагерц) проявляют­ выполнение соотнош ения / к = Л21Э / Б;
ся емкостные эффекты и уменьшается зна­ при этом он может управлять только пе­
чение коэфициента р. Для увеличения ременным резистором. И так, он может
быстродействия к базовому резистору па­ создать короткое замыкание в схеме (ре­
раллельно подключают конденсатор. ж им н асы щ ен и я), или разом кн уть ее
2, Если потенциал нагрузки по какой- (транзистор в выключенном состоянии),
либо причине меньше потенциала земли или создать какое-то промежуточное со­
(например, если на нагрузке действует на­ стояние; он не имеет права использовать
пряжение переменного тока или она ин­ батареи, источники тока и т. п. Не сле­
дуктивна), то параллельно коллекторно­ дует, однако, думать, что коллектор тран­
му переходу следует подклю чить диод зистора на самом деле похож на резис­
(можно также использовать диод, вклю­ тор. Это не так. Человек старается сде­
ченный в обратном направлении по от­ лать так, чтобы через него все время
ношению к положительному потенциалу
питания), тогда цепь коллектор—база не
будет проводить ток при отрицательном
напряжении на нагрузке.
3. При использовании индуктивных на­
грузок транзистор следует предохранять
с помощью диода, подключенного к на­
грузке, как показано на рис. 2.4. Если
переключатель разомкнут, то в отсутствие
диода на коллекторе будет действовать
большое полож ительное н ап ряж ен и е,
скорее всего превышающее значение н а­
пряжения пробоя для цепи коллектор-
эмиттер. Это связано с тем, что индук­
тивность стремится сохранить ток вклю­
ченного со сто ян и я, протекаю щ и й от
источника UKK к коллектору (вспомните
свойства индуктивностей в разд. 1.31).
Транзисторные переключатели позволя­
ют производить переключение очень быс­
тро, время переклю чения изм еряется Рис. 2.5. «Транзисторный человек» следит за током
обычно долями микросекунд. С их помо­ базы и регулирует выходной реостат для того, чтобы
щью можно переключать несколько схем выходной ток был в Л2|Э больше тока базы.
72 Глава 2

протекал постоянный неизменны й ток Первый Второй


(величина этого тока зависит от прило­
женного к базе напряжения).
Следует помнить, что в любой задан­
ный момент времени транзистор может:
а) быть в режиме отсечки, т. е. выклю­
читься (отсутствует ток коллектора);
б) находиться в активном режиме (неболь­
шой ток коллектора, напряжение на кол­ Рис. 2.7. Представим «нагрузку» схемы как дели­
лекторе выше, чем на эмиттере); тель напряжения.
в) перейти в режим насыщения (напря­
жение на коллекторе приблизительно рав­ ше, чем выходной. Из этого следует, что
но напряжению на эмиттере). Более под­ источник входного сигнала будет отдавать
робно режим насыщения транзистора опи­ меньшую мощность, если нагрузку под­
сан в приложении Ж. ключить к нему не непосредственно, а
через эмиттерный повторитель. Поэтому
2.03. Эмиттерный повторитель обладающий внутренним импедансом ис­
точник (имеется в виду его эквивалент­
На рис. 2.6 показан эмиттерный повто­ н ая схем а) м ож ет через п овтори тел ь
ритель. Он назван так потому, что вы­ работать на нагрузку, которая обладает
ходной сигнал снимается с эмиттера, на­ сравнимым или даже более низким им­
пряжение на котором равно напряжению педансом, без потери амплитуды сигнала
на входе (на базе) минус падение напря­ (эта потеря неизбежна при прямом вклю­
жения на диоде (на переходе б аза-эм и т­ чении из-за эффекта делителя напряже­
тер): U3 = f/B—0,6 В. Выходной сигнал по ния). Иными словами, эмиттерный по­
форме повторяет входной, но уровень его вторитель об есп еч и вает у си л ен и е по
напряжения на 0,6—0,7 В ниже. Для при­ току, хотя и не дает усиления по напря­
веденной схемы входное н апряж ение жению. Он также обеспечивает усиление
U должно составлять по крайней мере по мощности. Как видите, усиление по
0,6 В, иначе выходное напряжение будет напряжению это еще не все!
равно потенциалу земли. Если к эмиттер- Импеданс источника и нагрузки. Пос­
ному резистору подключить источник от­ леднее замечание очень важно, поэтому
рицательного напряж ения, то входной задержим на нем свое внимание, прежде
сигнал может быть отрицательным. Об­ чем приступить к вычислениям, связан­
ратите внимание, что в эмитгерном по­ ным со свойствами эмиттерных повтори­
вторителе отсутствует резистор в коллек­ телей. П ри анализе электронны х схем
торной цепи. всегда стремятся связать выходную вели­
На первый взгляд эта схема может по­ чину с какой-либо входной, как, напри­
казаться бесполезной, но дело в том, что мер, на рис. 2.7. В качестве источника
ее входной импеданс значительно боль- сигнала может выступать выход усили­
тельного каскада (с эквивалентным пос­
+10 в
ледовательным импедансом Z Bbix), к ко­
торому подключен еще один каскад или
нагрузка (обладающая входным импедан­
сом Z BX). Вообще говоря, нагрузочный эф ­
фект следующего каскада проявляется в
ослаблении сигнала, о чем шла речь ра­
нее в разд. 1.05. В связи с этим обычно
стремятся к тому, чтобы выполнялось ус­
ловие ZBbix « Z Bx (практическое правило
рекомендует использовать коэффициент
Рис. 2.6. Эмиттерный повторитель. 10, что на самом деле весьма удобно).
Транзисторы 73

В некоторых случаях вполне можно пре­ К оэф ф и ц и ен т р (А2|э) обы чно имеет
небречь этим общим требованием для значение около 100, поэтому подключе­
обеспечения стабильности источника по ние нагрузки с небольшим импедансом
отношению к нагрузке. В частности, если приводит к тому, что импеданс со стороны
нагрузка подключена всегда (например, базы становится очень большим; с такой
входит в состав схемы) и если она пред­ нагрузкой схеме легко работать.
ставляет собой известную и постоянную В выполненном только что преобразо­
величину Z bx, то нет ничего опасного в вании, как и в гл. 1, мы использовали для
том, что она «нагружает» источник. Тем обозначения некоторых величин строчные
не менее хуже не будет, если уровень сиг­ буквы, наприм ер /г21Э, тем самы м мы
нала не изменяется при подключении на­ указали, что имеем дело с приращениями
грузки. Кроме того, если ZBx изменяется (малыми сигналами). Чаще всего нас ин­
при изменении уровня сигнала, то ста­ тересует изменение напряжения (или тока)
бильный источник (ZBbix« Z BX) обеспечи­ в схеме, а не постоянные значения (или
вает линейность, а делитель напряжения значения по постоянному току) этих ве­
дает искажение линейной зависимости. личин. Очень часто эти изменения малых
Н а к о н е ц , в двух с л у ч ая х у сл о в и е сигналов и представляют собой реальный
Z„,,„
ВЫХ
«с Z„ВХ соблюдать просто нельзя: в 4ра- сигнал, например, в усилителе звуковых
диочастотных схемах импедансы обычно частот, который имеет устойчивое «сме­
выравнивают (ZBUx = ZBX) по причине, ко­ щ ен и е» п о п о с т о я н н о м у т о к у (см .
торую мы объясним в гл. 14. Второе ис­ разд. 2.05). Различие между коэф ф ици­
ключение относится к случаю, когда пе­ ентом усиления по постоянному току (й2|э)
редаваемым сигналом является не напря­ и коэффициентом усиления по току для
жение, а ток. В этом случае ситуация малого сигнала h1]3 не всегда очевидно,
меняется на противоположную, и нужно и для того и для другого случая использу­
стремиться к выполнению условия Z Bx« ют понятие коэффициента усиления р.
ZBblx (для источника тока ZBblx = да). Если учесть, что h2u = А (за исключе­
Входной импеданс и импеданс эмиттер- нием очень высоких частот) и в большин­
ного повторителя. Итак, эмиттерный по­ стве случаев интерес представляет не точ­
вторитель обладает способностью согла­ ное, а приблизительное значение этого
совывать импедансы источников сигна­ коэффициента, то использование коэф ­
лов и нагрузок. В этом и состоит его фициента р вполне допустимо.
назначение. В полученном соотношении фигуриру­
Давайте подсчитаем входной и выход­ ют активные сопротивления, однако его
ной импеданс эмиттерного повторителя. можно обобщить и распространить на ком­
Предположим, что в приведенной схеме плексные импедансы, если переменные
в качестве нагрузки выступает резистор A t/6, А/ 6 и др. заменить их комплексными
R (на практике иногда так и бывает, в представлениями. В результате получим
других случаях нагрузку подключают па­ правило преобразования импедансов для
раллельно резистору R, но при парал­ эмиттерного повторителя:
лельном соединении преобладает сопро­ Zвх = (Л,, + 1)Z .
тивление R). Пусть напряжение на базе v 2 1э ' нагр

изменилось на величину Д{/Б; соответству­ П роделав аналогичны е преобразова­


ющее напряжение на эмиттере составит ния, найдем выходной импеданс эмит­
AU3 = Д{/Б. Определим изменение тока терного повторителя Z bhx (импеданс со
эмиттера: AU3 = A U JR , равное Д/ 6 = стороны эмиттера) при использовании
= [1/(А21э+ !)]АЛ = Щ /Л(Л21э+ 1) (с уче­ источника сигнала с внутренним импе­
том того, что / э = 1к + / 6). Входное дансом г ист:
соп роти влени е схемы равн о At/6/A /6,
следовательно, 2 вы х = 2 и ст ( А 21э + ! )•

Строго говоря, в выходной импеданс


^ = (Л2„ + DA схемы надо включить и сопротивление
74 Глава 2

п ар ал л ел ьн о го р е зи с т о р а R, но Z Bbix +10
(импеданс со стороны эмиттера) играет
основную роль.
Упражнение 2.1. Покажите, что приведенное выше
соотношение справедливо. Подсказка: найдите из­
менение выходного тока при фиксированном напря­
жении источника и заданном изменении выходного
напряжения. Учтите, что напряжение источника
подается на базу через его последовательно вклю­ Рис. 2.9. Эмиттерный повторитель п—р —я-типа как
ченное внутреннее сопротивление. схема формирования асимметричного токового сиг­
Благодаря таким полезным свойствам нала.
эмиттерные повторители находят ш иро­
меняется в пределах напряжения насыще­
кое практическое применение, например,
н и я тр ан зи сто р а UKK (что со став л яет
при создании внутри схем (или на их вы­
+ 9,9 В), в отрицательной полуплоскости
ходе) источников сигналов с низким им­
оно ограничено значением - 5 В. Это свя­
педансом, при получении стабильных эта­
зано с тем, что при увеличении отрица­
лонных напряжений на основе эталонных
тельного напряжения на входе транзис­
источников с высоким импедансом (сфор­
тор в определенный момент просто вык­
мированных, скажем, с помощью дели­
лючается, напряжение на входе составляет
телей напряжения) и для изоляции ис­
при этом —4,4 В, а не выходе — 5 В.
точников сигналов от влияния последую­
Дальнейшее увеличение отрицательного
щих каскадов.
напряжения на входе приводит лишь к об­
Упражнение 2.2. Н а основе эмитгерного повторите­ ратному смещению перехода б аза-эм и т­
ля, к базе которого подключен делитель напряжения, тер, но на выходе это никак не проявля­
создайте схему источника напряжения +5 В при усло­
вии, что используется стабилизированный источник на­
ется. Выходной сигнал для входного си­
пряжения питания +15 В. Ток нагрузки (максимальный) нусоидального напряжения с амплитудой
равен 25 мА. Сопротивление резисторов следует выбрать 10 В показан на рис. 2.9.
так, чтобы при подключении полной нагрузки напряже­ Можно также рассматривать поведение
ние на выходе изменялось не более чем на 5%. эмитгерного повторителя, исходя из того,
Некоторые замечания по поводу эмиттер- что он обладает небольш им выходным
ных повторителей. 1. Отметим (разд. 2.01, импедансом для малого сигнала (динами­
правило 4), что транзистор п - р —п -типа в ческий импеданс). Его выходной импе­
эмиттерном повторителе может только данс для большого сигнала может быть
отдавать ток. Например, для схемы, по­ значительно больше (равен R3). Измене­
казанной на рис. 2.8, выходное напряже­ ние импеданса от первого значения ко
ние в положительной полуплоскости из- второму происходит в тот момент, когда
транзистор выходит из активного режима
+10 в (в нашем примере при напряжении на вы­
ходе —5 В). Иначе говоря, небольшой вы­
ходной импеданс для малого сигнала не
означает еще, что схема может создавать
большой сигнал на низкоомной нагрузке.
Если схема имеет небольшой выходной
импеданс для малого сигнала, то из этого
не следует, что она обладает способнос­
тью передавать в нагрузку большой ток.
-10 В Для того чтобы преодолеть ограниче­
ние, присущее схеме эмитгерного повто­
Рис. 2.8. Из эмитгерного повторителя л-/>-л-типа
рителя, можно, например, в эмиттерной
может вытекать большой ток, который будет проте­ цепи использовать резистор с меньшим
кать через транзистор, втекать же может ограничен­ сопротивлением (тогда на резисторе и
ное количество тока и лишь через эмиттерный рези­ транзисторе будет рассеиваться большая
стор.
Транзисторы 75

_о U (-U Л
“У вы х \ стаб'

(нестаб.
напряжение
с небольшими
пульсациями)

Рис. 2.10. Диод предохраняет переход база-эмит­ Рис. 2.11. Простой стабилизатор напряжения на
тер от пробоя. основе зенеровского диода.

мощность), или использовать двухтакт­ протекать некоторый ток, поэтому нужно


ную схему, в которой два транзистора обеспечить выполнение следующего усло­
( п - р —п -типа и р —п —р -ти п а) взаи м н о вия:
дополняют друг друга (разд. 2.15). П ро­ (U -U )/R > Iв ы х (макс).
блемы такого рода возникаю т также в ' вх вы х7 / ' 7

тех случаях, когда нагрузка эмиттерно- Так как напряжение Ubx не стабилизи­
го повторителя имеет внутри собствен­ ровано, то в формулу нужно поставить
ный источник н ап ряж ени я или тока. наименьшее возможное значение Utx. Это
Примером такой схемы служит стабили­ пример того, как следует проектировать
зированный источник питания (на вы ­ схему для жестких условий работы. На
ходе которого стоит обычно эмиттерный практике учитывают также допуски на
повторитель), работаю щ ий на схему, параметры компонентов, предельные зна­
содержащую собственный источник пи­ чения напряжения в сети и т. п., стремясь
тания. предусмотреть наихудшее возможное со­
2. Не забывайте, что напряжение пробоя четание всех значений.
перехода база-эмиттер для кремниевых Н а стабилитроне рассеивается м ощ ­
транзисторов невелико и часто составля­ ность:
ет всего 6 В. Входные сигналы, имею­ Р ^ = [(ит - и 1ых) / я - и и шх.
щие достаточно большую амплитуду для
того, чтобы вывести транзистор из состо­ Для того чтобы предусмотреть работу
яния проводимости, могут вызвать про­ в жестких условиях, при расчете РспЪ
бой перехода (и последующее уменьше­ также следует использовать зн ач ен и я
ние значения коэф ф ициента й21э). Для (UBx (макс), R (мин.) и / вых(мин.).
предохранения от пробоя можно исполь­ Упражнение 2.3. Разработайте стабилизированный
зовать диод (рис. 2. 10). источник напряжения ±10 В для токов нагрузки ве­
3. Коэффициент усиления по напряже­ личиной от 0 до 100 мА; входное напряжение изме­
нию для эмитгерного повторителя имеет няется в пределах от 20 до 25 В. В любых условиях
значение чуть меньше 1,0, так как паде­ (в том числе и в самых жестких) через стабилитрон
должен протекать ток 10 мА На какую предельную
ние напряжения на переходе б аза-эм и т­ мощность должен быть рассчитан стабилитрон?
тер фактически не является постоянным,
а немного зависит от коллекторного тока. Стабилизированный источник с зене-
Далее в этой главе мы вернемся к этому ровским диодом, как правило, использу­
вопросу, когда будем рассматривать урав­ ют в некритичных схемах или в схемах,
нение Эберса—Молла. где потребляемый ток невелик. Ограни­
чения такой схемы проявляются в следу­
2.04. Использование эмиттерных ющем:
повторителей в качестве стабилизаторов 1. Напряжение Ueux нельзя отрегулиро­
напряжения вать или установить на заданное значе­
ние.
Простейшим стабилизатором напряжения 2. Стабилитроны имеют конечное дина­
служит обычный зенеровский диод-ста­ мическое сопротивление, а в связи с этим
билитрон (рис. 2.11). Через него должен они не всегда достаточно сильно сглажи-
76 Глава 2

Рис. 2.12. Стабилитрон в сочетании с повторите­


лем обеспечивает увеличение выходного тока.

вают пульсации входного напряжения и


влияние изменения нагрузки.
пульсаций тока в стабилитроне (проте­
3. При широком диапазоне изменения то­ кающего через резистор R). В частно­
ков нагрузки приходится выбирать стаби­ сти, может быть использован источник
литрон с большой мощностью рассеяния, тока для п итани я стабилитрона. Этот
так как при малом токе нагрузки он дол­ случай мы рассмотрим в разд. 2.06. Дру­
жен рассеять на себе значительную мощ­ гой метод основан на использовании в
ность, равную максимальной мощности
цепи питания стабилитрона фильтра низ­
в нагрузке. ких частот (рис. 2.13). Резистор R выби­
На рис. 2.12 представлена улучшенная рают так, чтобы обеспечить необходимый
схема, в которой зенеровский диод отде­ т о к в стаб и л и тр о н е. К о н д ен са то р С
лен от нагрузки эмиттерным повторите­ должен иметь емкость, достаточно боль­
лем. В такой схеме дела обстоят лучше. шую для того, чтобы выполнялось усло­
Ток стабилитрона теперь относительно не­ вие RC » 1// (В одном из вариантов этой
зависим от тока нагрузки, так как по цепи схемы верхний резистор заменен д и о­
базы транзистора протекает небольшой ток дом.) В дальнейшем вы познакомитесь
и мощность, рассеиваемая на стабилитро­ с более соверш енными стабилизаторами,
не, значительно меньше (уменьшение в hn3 в которых выходное напряжение можно
раз). Резистор RKможно добавить в схему легко и плавно настраивать благодаря об­
для того, чтобы он предохранил транзис­ ратной связи. Вместе с тем он и пред­
тор от выхода из строя при кратковремен­ ставляют собой гораздо лучшие источни­
ном коротком замыкании выхода за счет ки н апряж ения, выходные импедансы
ограничения тока, и, хотя эмиттерный которых измеряются в миллиомах, тем­
повторитель нормально работает и без это­ пературные коэффициенты - в милли­
го резистора, его присутствие в схеме впол­ онных долях на °С и т. д.
не обоснованно. Резистор /?к следует вы­
бирать так, чтобы при максимальном токе
2.05. Смещение в эмиттерном повторителе
нагрузки падение напряжения на нем было
меньше, чем на резисторе R.
Если на эмиттерный повторитель должен
Упражнение 2.4. Разработайте источник напряже­ поступать сигнал с предшествующего кас­
ния + 10 В, который имел бы такие же параметры, када схемы, то лучше всего подключить
как источник в упражнении 2.3. Используйте в схеме
стабилитрон и эмиттерный повторитель. Рассчитай­
его непосредственно к выходу предыду­
те, какую мощность рассеивают транзистор и стаби­ щего каскада, как показано на рис. 2.14.
литрон в наихудшем случае. Каково процентное из­ Так как сигнал на коллекторе транзисто­
менение тока стабилитрона при переходе от ненагру- ра Тх изменяется в пределах диапазона,
женного состояния к нагруженному? Сравните эти ограниченного значениями напряжения
результаты с результатами предыдущего упражнения.
источников питания, то потенциал базы
В ряде вариантов рассмотренной схе­ 71 всегда заключен между напряжением
мы предусматривают меры для снижения lfKK и потенциалом земли, а следователь­
Транзисторы 77

Рис. 2.14. Рис. 2.16. Эмиттерный повторитель со связью по


переменному току. Обратите внимание на делитель
напряжения в цепи смещения базы.
но, Тг находится в активной области (не
насыщен и не в отсечке). При этом пере­
ход база-эм иттер открыт, а потенциал всего воспользоваться для этого делите­
коллектора, по крайней мере на несколь­ лем напряжения (рис. 2.16). Резисторы
ко десятых долей вольта больше, чем по­ Rt и /^выбраны так, что в отсутствие вход­
тенциал эмиттера. В некоторых случаях ного сигнала потенциал базы равен по­
вход эмиттерного повторителя и напряже­ ловине разности между напряжением ис­
ние питания неудачно соотносятся друг с точника UKK и потенциалом земли, т. е.
другом, и тогда может возникнуть необхо­ сопротивления /?, и R2 равны. Процесс
димость в емкостной связи (или связи по выбора рабочих напряжений в схеме в от­
переменному току) с внешним источни­ сутствие поданных на ее вход сигналов
ком сигнала (например, это относится называется установкой рабочей точки или
к сигнальному входу высококачественно­ точки покоя. Для этой схемы, как и в боль­
го усилителя низкой звуковой частоты). шинстве случаев, точку покоя устанавли­
В этом случае среднее напряжение сигна­ вают так, чтобы на выходе формировался
ла равно нулю, и непосредственная связь максимальный симметричный сигнал (без
с эмиттерным повторителем приведет к ограничений или срезов). Какими долж­
тому, что сигнал на выходе будет изме­ ны быть при этом сопротивления резисто­
няться относительно входа, как показано ров Л, и R 1 Применяя общий подход (разд.
на рис. 2.15. 1.05), допустим, что импеданс источника
В эмиттерном повторителе (а фактичес­ смещения по постоянному току (импеданс
ки в любом транзисторном усилителе) со стороны выхода делителя) мал по срав­
необходимо создать смещение для того, нению с импедансом нагрузки (импеданс
чтобы коллекторный ток протекал в те­ по постоянному току со стороны базы по­
чение полного периода сигнала. Проще вторителя). Тогда
Л, 11 R ,« h2l3R3.
Из этого соотношения следует, что ток,
протекающий через делитель напряжения,
должен быть больше, чем ток, протекаю­
щий по цепи базы.
Пример разработки схемы эмиттерного
повторителя. В качестве примера разра­
ботаем схему эмиттерного повторителя для
сигналов звуковой частоты (от 20 Гц до
Рис. 2.15. Транзисторный усилитель с положитель­ 20 кГ ц). Н ап ряж ен и е f/KK составляет
ным источником питания не может генерировать на + 15 В, ток покоя равен 1 мА.
выходе импульсы отрицательной полярности. Шаг 1. Выбор напряжения 1/э. Для полу-
78 Глава 2

чения симметричного сигнала без срезов


необходимо, чтобы выполнялось условие
иэ = 0,5 UKK, или +7,5 В.
Шаг 2. Выбор резистора R3. Ток покоя
д о л ж ен с о с т а в л я т ь 1 м А , п о э т о м у
Лэ = 7,5 кОм.
Ш аг 3. В ы бор р е зи с т о р о в Л, и R 2.
Напряжение ( UB- это сумма U3 + 0,6 В,
или 8,1 В. Из этого следует, что сопро­
тивления резисторов R , и R2 относятся
друг к другу как 1:1,17. Учитывая извест­ Рис. 2.17. Эмиттерный повторитель со связью по
ный уже нам критерий выбора нагрузки, постоянному току с расщепленным источником пи­
мы должны подобрать резисторы /?, и Я7 тания.
так, чтобы сопротивление их параллель­
ного соединения составляло приблизи­ побольше. Вполне подойдут следующие
тельно 75 кОм или меньше (0,1 от произ­ значения: С,, = 0,5 и С2 = 3,3 мкФ.
ведения 7,5 кОм на й2|Э). Выберем сле­ Эмиттерные повторители с расщеплен­
дующие стандартные значения сопротив­ ными источниками. В связи с тем что сиг­
лений: Л, = 130 кОм, R2 = 150 кОм. налы часто находятся «возле земли», удоб­
Шаг 4. Выбор конденсатора С,. Конден­ но использовать симметричное питание
сатор С, и сопротивление нагрузки источ­ повторителей — с положительным и от­
ника образуют фильтр высоких частот. рицательным напряжением. В такой схе­
Сопротивление нагрузки источника есть ме легче обеспечить смещение, и для нее
параллельное соединение входного сопро­ не нужны развязывающие конденсаторы
тивления транзистора со стороны базы и (рис. 2.17).
соп роти влени я д елителя н ап ряж ен и я Замечание: в схеме обязательно должна
базы. Предположим, что нагрузка схемы быть предусмотрена цепь постоянного тока
велика по сравнению с эмиттерным ре­ для тока базы, даже если этот ток течет
зистором, тогда входное сопротивление просто «на землю». В схеме на рис. 2.17
тр ан зи сто р а со сторон ы базы р авн о эту роль играет источник сигнала, соеди­
h2]3R3, т. е. составляет =750 кОм. Экви­ ненный с землей по постоянному току.
валентное сопротивление делителя равно Если же это не так (например, имеется
70 кОм. Тогда нагрузка для конденсато­ емкостная связь с источником), то следу­
ра составляет 63 кОм и емкость конденса­ ет предусмотреть связь базы с землей че­
тора должна быть равна по крайней мере рез резистор (рис. 2.18). К ак и прежде,
0,15 мкФ. В этом случае точке - 3 дБ сопротивление Rb должно составлять при­
будет соответствовать частота, меньшая близительно 0,1 от произведения /г2ь/?э.
чем 20 Гц.
Шаг 5. Выбор конденсатора С2. Конден­
+ U кк
сатор С2 и неизвестный импеданс нагруз­
ки образуют фильтр высоких частот. Мы
не ош ибемся, если предполож им, что
импеданс нагрузки не будет меньше R3.
Тогда, для того чтобы точке - 3 дБ соот­
ветствовало значение частоты, меньшее
чем 20 Гц, емкость конденсатора С2 дол­
жна быть равна по крайней мере 1,0 мкФ.
Так как мы получили двухкаскадны й
фильтр высоких частот, то для предот­
вращения снижения амплитуды сигнала
на самой низкой из интересующих нас
частот емкости следует взять немного Рис. 2.18.
Транзисторы 79

+ 15 В

Рис. 2.20.

точники напряж ения. И сточники тока


7,5 представляют собой прекрасное средство
кОм для обеспечения смещения транзисторов,
и кроме того, незаменимы в качестве ак­
тивной нагрузки для усилительных каска­
дов с большим коэффициентом усиления
Рис. 2.19. Не следуйте этому примеру.
и в качестве источников питания эмитте­
ров для дифференциальных усилителей.
Упражнение 2.5. Разработайте эмиттерный по­ Источники тока необходимы для работы
вторитель с источником напряжения ± 15 В для диа­
пазона звуковых частот (20 Гц—20 кГц). Ток покоя таких устройств, как интеграторы, гене­
равен 5 мА, на входе имеется емкостная связь. рато р ы п и л о о б р а зн о го н а п р я ж е н и я .
В схемах усилителей и стабилизаторов они
Пример плохого смещения. К сожале­ обеспечивают широкий диапазон напря­
нию, иногда встречаются такие неудачные жений. И наконец, источники постоян­
схемы, как на рис. 2.19. При выборе ре­ ного тока требуются в некоторых облас­
зистора Л, для этой схемы предположили, тях, не имеющих прямого отношения к
что коэффициент h2b имеет определенное электронике, например в электрохимии,
значение ( 100), оценили величину тока электрофорезе.
базы и предположили, что падение напря­ Подключение резистора к источнику
жения на /?Б составит 7 В. Расчет схемы напряжения. Схема простейшего источ­
выполнен плохо; коэф ф ициент й2|э не ника тока показана на рис. 2.20. При
следует брать за основу расчета, так как условии, что Лн » R (иными словами,
его значение может существенно изменять­ £ / » £ / ) , ток сохраняет почти постоянное
ся. Если напряжение смещения задать с значение и равен приблизительно / = U/R.
помощью делителя напряжения, как в рас­ Если нагрузкой является конденсатор, то
смотренном выше примере, то точка по­ при условии, что U, он заряжает­
коя будет нечувствительна к изменениям ся с почти постоянной скоростью, опре­
коэффициента р. Например, в предыду­ деляемой начальным участком экспонен­
щей схеме напряжение на эмиттере уве­ ты, характерной для данной ЛС-цепи.
личится всего на 0,35 В (5%), если вмес­ Простейшему резистивному источнику
то номинальной величины кгь = 1 0 0 бу­ тока присущи существенные недостатки.
дем иметь величину hlb = 200. На примере Для того чтобы получить хорошее прибли­
эмиттерного повторителя мы показали жение к источнику тока, следует исполь­
вам, как можно попасть в ловушку и раз­ зовать большие напряжения, а при этом
работать никуда не годную схему. Такие на резисторе рассеивается большая мощ­
ошибки возможны и в схемах с другим ность. Кроме того, током этого источни­
вклю чением транзисторов (наприм ер, ка трудно управлять в широком диапазо­
дальше в этой главе будет представлена не с помощью напряжения, формируемо­
схема с общим эмиттером). го где-либо в другом узле схемы.
2.06. Транзисторный источник тока Упражнение 2.6. Допустим, нам нужен источник
тока, который бы обеспечивал точность 1% в диа­
пазоне изменения напряжения на нагрузке от 0 до
Хотя источники тока не столь известны, + 10 В. Какой источник напряжения нужно под­
они не менее полезны и важны, чем ис- ключить последовательно к резистору?
80 Глава 2

+U„, Смещение в источнике тока. Напряже­


ние на базе можно сформировать несколь­
кими способами. Хороший результат дает
использование делителя напряжения, если
он обеспечивает достаточно стабильное
напряжение. К ак и в предыдущих случа­
ях, сопротивление делителя должно быть
значительно меньше сопротивления схе­
мы со стороны базы по постоянному току
h2l,R... Можно воспользоваться также зе-
неровским диодом и использовать для
смещения источник питания UKK, а можно
взять несколько диодов, смещ енны х в
прямом направлении и соединенных пос­
ледовательно, и подключить их между ба­
зой и соответствующим источником пи­
Рис. 2.21. Транзисторный источник тока: основная тания эмиттера. На рис. 2.22 показаны
идея. примеры схем смещ ения. В последнем
примере (рис. 2.22, б) транзистор р —п —р-
Упражнение 2.7. Допустим, что в предыдущем уп­ типа питает током заземленную нагрузку
ражнении требуется получить от источника ток (он - источник тока). Остальные примеры
10 мА. Какая мощность будет рассеиваться на ре­ (в которых используются транзисторы
зисторе? Какая мощность передается нагрузке?
п —р —п-типа) правильнее было бы назы ­
Транзисторный источник тока. Очень вать «поглотителями» тока, но принято
хороший источник тока можно постро­ называть все схемы такого типа источни­
ить на основе транзистора (рис. 2.21). ками тока. [Название «поготитель» и «ис­
Работает он следующим образом: напря­ точник» связано с направлением тока;
жение на базе UB > 0,6 В поддерживает если ток поступает в какую-либо точку
эмиттерный переход в открытом состоя­ схемы , то это источник, и наоборот.]
нии: и э = Щ — 0,6 В. В связи с этим В первой схеме сопротивление делителя
/ э = U.JR3 = ( и э — 0,6 В)/Лэ. Так как для напряжения составляет приблизительно
больших значений коэффициента Л21э/ э = = 1,3 кОм и очень мало по сравнению с
= / к, то 1К = (U3 - 0,6 В)/Лэ независимо сопротивлением со стороны базы, со ­
от напряжения UKдо тех пор, пока тран­ ставляющим 100 кОм (для кгъ = 100).
зистор не перейдет в режим насыщения Любое изменение коэффициента (3, свя­
(UK> U3 + 0,2 В). занное с изменением напряжения на кол­

Рис. 2.22. Схемы транзисторных источников тока с тремя способами подачи смещения на базу; в транзисторы
п -р-л-типа ток втекает, а из транзисторов р~п~р-типа вытекает. На схеме (в) показан источник с заземленной
нагрузкой.
Транзисторы 81

лекторе, не повлияет существенным об­ Упражнение 2.8. В схеме имеются два стабилизи­
разом на выходной ток, так как соответ­ рованных источника напряжения: 45 и 15 В. Разрабо­
тайте схему источника тока на основе транзистора
ствующее изменение напряжения на базе п—р —п-типа, которая бы обеспечивала ток +5 мА.
совсем мало. В двух других схемах резис­ В качестве источника напряжения для базы исполь­
торы в цепи смещения выбраны так, что­ зуйте источник +5 В. Чему равен рабочий диапазон
бы протекающий ток составлял несколь­ в такой схеме?
ко м иллиам пер, — этого д остаточн о, В источнике тока напряжение на базе
чтобы диоды были открыты. не обязательно должно быть фиксирован­
Рабочий диапазон. Источник тока пе­ ным. Если предусмотреть возможность
редает в нагрузку постоянный ток только изменения напряжения и ъ, то получим
до определенного конечного напряжения программируемый источник тока. Если
на нагрузке. В противном случае источ­ выходной ток должен плавно отслеживать
ник тока был бы способен генерировать изменения входного напряжения, то раз­
бесконечную мощность. Диапазон выход­ мах входного сигнала ит (напоминаем, что
ного напряжения, в котором источник строчными буквами мы договорились обо­
тока ведет себя как следует, называется значать изменения) должен быть неболь­
рабочим диапазоном. Для рассмотренных шим, таким, чтобы напряжение на эмит­
только что транзисторных источников тока тере никогда не уменьшалось до нуля.
рабочий диапазон определяется из того, В таком источнике тока изменение вы­
что транзистор должен находиться в ак­ ходного тока будет пропорционально из­
тивном режиме работы. Так, в первой менениям входного напряжения.
схеме напряжение на коллекторе можно Недостатки источников тока. Как силь­
понижать до тех пор, пока не будет дос­ но отличается транзисторный источник
тигнут режим насыщения, т. е. до +12 В. тока от идеального? Иными словами, из­
Вторая схема, с более высоким напряже­ меняется ли ток в нагрузке при измене­
нием на эмиттере, сохраняет свойства нии, скажем, напряжения, т. е. имеет ли
источника лиш ь до значения напряжения источник тока эквивалентное сопротивле­
на коллекторе, равного приблизительно ние конечной величины (Лэкв < оо)? И если
+ 5,2 В. да, то почему? Наблюдаются эффекты двух
Во всех случаях напряжение на кол­ видов:
лекторе может изменяться от значения 1. П ри зад ан н о м токе ко л л ек то р а и
напряжения насыщения до значения на­ н ап ряж ени е 1/ъэ, и ко эф ф и ц и ен т h2[3
пряжения питания. Например, послед­ (эффект Эрли) несколько изменяются при
няя схема работает как источник тока в изменении напряжения коллектор—эмит­
диапазоне напряжения на нагрузке, ог­ тер. Изменение напряжения Ub3, связан­
раниченном зн ач ен и ям и 0 и + 8,6 В. ное с изменением напряжения на нагруз­
Если в нагрузке используются батареи ке, вызывает изменение выходного тока,
или собственные источники питания, то так как напряжение на эмиттере (а следо­
напряжение на коллекторе может быть вательно, и эмиттерный ток) изменяется,
больше, чем напряжение источника пи­ даже если напряжение на базе фиксирова­
тания. При использовании такой схемы но. Изменение значения коэффициента
рекомендуется следить за тем, чтобы не /г2|Э приводит к небольшим изменениям
возник пробой транзистора (напряжение выходного (коллекторного) тока при ф ик­
U не долж но п р ев ы ш ать зн ач ен и е сированном токе эмиттера, так как / к =
^кэпроб - напряжение пробоя перехода = / э —/ Б; кроме того, немного изменяется
коллектор-эмиттер) и не рассеивалась из­ напряжение на базе в связи с возможным
лишняя мощность (определяемая величи­ изменением сопротивления источника
ной произведения IKUK3). В разд. 6.07 смещения, обусловленного изменениями
вы увидите, что для мощных транзисто­ коэффициента /г2|Э (а следовательно, и тока
ров область безопасной работы определя­ базы). Эти изм енения незначительны.
ется специально. Например, изменение выходного тока для
схемы, представленной на рис. 2.22, а,
82 Глава 2

Улучшение характеристик источника


тока. Вообще говоря, изменение напря­
жения и ъэ, вызванное как влиянием тем­
пературы (относительное изменение со­
ставляет приблизительно - 2 мВ/°С), так и
зависимостью от напряжения UB3 (эффект
Э рли оц ен и в ается вел и чи н ой Д и ъэ »
* —0,001 Д£/кэ), можно свести к миниму­
му, если установить напряжение на эмит­
тере достаточно больш им (по крайней
мере 1 В), тогда изменение напряжения
и ъэ на десятые доли милливольта не при­
ведет к значительному изменению напря­
Рис. 2.23. Один из методов температурной компен­ жения на эмиттерном резисторе (напом­
сации источника тока.
ним, что схема поддерживает постоянное
составляет приблизительно 0,5% для тран­ напряж ение на базе). Н апример, если
зистора типа 2N3565. В частности, при U3 = 0,1 В (т. е. к базе приложено на­
изменении напряжения на нагрузке от пряжение 0,7 В), то изменение напряже­
0 до 8 В эффект Эрли обусловливает из­ ния {/бэ на 10 мВ вызывает изменение
менение тока на 0,5%, а нагрев транзис­ выходного тока на 10%, если же U3 =
тора —на 0,2%. Изменение коэффициента = 1,0 В, то такое же изменение U63 вы­
вносит дополнительный вклад в измене­ зывает изменение тока на 1%. Однако не
ние выходного тока - 0,05% (для жестко­ стоит заходить слишком далеко. Напомним,
го делителя напряжения). Все эти изме­ что нижняя граница рабочего диапазона оп­
нения приводят к тому, что источник тока ределяется напряжением на эмиттере. Если
работает хуже, чем идеальный: выходной в источнике тока, работающем от источни­
ток немного зависит от напряжения и, ка питания +10 В, напряжение на эмитте­
следовательно, его сопротивление не бес­ ре сделать равным +5 В, то диапазон выхо­
конечно. В дальнейшем вы узнаете, что да будет равен немного менее 5 В (напря­
есть методы, которые позволяют преодо­ жение на коллекторе может изменяться от
леть этот недостаток. U3 + 0,2 В до UKK, т. е. от 5,2 до 10 В).
2. Напряжение иъэ и коэффициент й2|Э На рис. 2.24 показана схема, которая
зависят от температуры. В связи с этим сущ ественно улучшает характеристики
при изменении температуры окружающей — *-------------•— +ю в
среды возникает дрейф выходного тока.
Кроме того, температура перехода изме­
няется при изменении напряж ения на
нагрузке (в связи с изменением мощнос­
ти, рассеиваемой транзистором) и при­
водит к тому, что источник работает не
как идеальный. Изменение напряжения £/БЭ
в зависимости от температуры окружаю­
щей среды можно скомпенсировать с по­
мощью схемы, показанной на рис. 2.23.
В этой схеме падение напряжения между
базой и эмиттером транзистора Т2 ком­
пенсируется падением напряж ения на
эмиттерном переходе Тх, который имеет
такие же температурные характеристики.
Резистор Л3 играет роль нагрузки для 7j, Рис. 2.24. Каскодный источник тока, обладающий
необходимой для задания втекающего тока повышенной устойчивостью к изменениям напря­
базы транзистора Т2. жения на нагрузке.
Транзисторы 83

источника тока. Источник тока Тх рабо­ от напряж ения питания. В этой схеме
тает как и прежде, но напряжение на кол­ напряжение 11ъэ транзистора 7j, падая на
лекторе фиксируется с помощью эмитте­ резисторе R2, определяет выходной ток
ра Т2. Ток, текущий в нагрузку, такой же независимо от напряжения (JKK
как и прежде, так как коллекторный (для
Т2) и эмиттерный токи приблизительно ^ * = ^ э / Л 2.
равны между собой (из-за большого зна­ С помощью резистора Л, устанавливает­
чения й2|Э). В этой схеме напряжение (JK3 ся смещение транзистора Т2 и потенциал
(для Tt) не зависит от напряжения на на­ коллектора Т{, причем этот потенциал
грузке, а это значит, что устранены из­ меньше, чем напряжение UKK, на удвоен­
менения напряжения иъэ, обусловленные ную величину падения напряжения на
эф фектом Эрли и тем пературой. Для переходе; тем самым уменьшается влияние
транзисторов типа 2N3565 эта схема дает эффекта Эрли. В этой схеме нет темпе­
изменение тока на 0, 1% при изменении ратурной компенсации; напряжение на R2
напряжения на нагрузке от 0 до 8 В; для уменьшается приблизительно на 2,1 мВ/°С
того чтобы схема обеспечивала указанную и вызывает соответствующее изменение
точность, следует использовать стабиль­ выходного тока (0,3%/°С).
ные резисторы с допуском 1%. (Кстати,
эту схему используют в высокочастотных 2.07. Усилитель с общим эмиттером
усилителях, где она известна под назва­
нием «каскод»), В дальнейшем вы позна­ Рассмотрим источник тока, нагрузкой для
комитесь со схемами источников тока, в которого служит резистор (рис. 2.26).
которых используются операционные уси­ Напряжение на коллекторе равно
лители и обратная связь и в которых так­
= икк - / д .
же решена задача устранения влияния из­
менений U63 на выходной ток. Можно через емкость задать сигнал в цепь
В лияние ко эф ф и ц и ен та й21Э мож но базы, тогда напряжение на коллекторе
ослабить, если вы брать тран зи стор с будет изменяться. Рассмотрим пример,
большим значением й2|Э, тогда ток базы представленный на рис. 2.27. Конденса­
будет вносить незначительный вклад в ток тор С выбран так, что фильтр высоких
эмиттера. частот, образованный этим конденсато­
На рис. 2.25 показан еще один источник ром и последовательно соединенными с
тока, в котором выходной ток не зависит ним резисторами смещения базы, пропус­
кает все нужные частоты (резисторы в
цепи базы обычно выбирают так, чтобы
импеданс со стороны базы, т. е. входное
сопротивление транзистора, был гораздо
больше и им можно было пренебречь).

Рис. 2.25. Транзисторный источник тока с исполь­


зованием напряжения и ъэ в качестве опорного. Рис. 2.26.
84 Глава 2

говорит о том, что положительный сиг­


нал на входе дает на выходе отрицатель­
ный сигнал (амплитуда которого в 10 раз
больше, чем на входе). Такая схема на­
зывается усилителем с общим эмиттером
с отрицательной обратной связью в цепи
эмиттера.
Входное и выходное сопротивление для
усилителя с общим эмиттером. Нетрудно
определить входное и выходное сопротив­
ление усилителя. Для входного сигнала
схема представляет собой параллельное
соединение резисторов 110 кОм, 10 кОм
Рис. 2.27. Каскад усиления переменного тока с об­ и входного сопротивления со стороны
щим эмиттером с отрицательной обратной связью в базы. Последнее приблизительно равно
цепи эмиттера. Обратите внимание, что выходной 100 кОм (сопротивление R3, увеличен­
сигнал снимается с коллектора, а не с эмиттера. н о е в /г21Э р а з ) , а з н а ч и т , в х о д н о е
соп роти влени е равно приблизительно
Иначе говоря, 8 кОм (преобладающую роль играет со­
противление 10 кОм). Если использу­
С >1/271/(^11^). ется развязывающий конденсатор, указан­
Благодаря напряжению смещения, при­ ный на схеме, то получаем фильтр высо­
ложенному к базе, и наличию эмиттер­ ких частот с точкой — 3 дБ на частоте
ного резистора сопротивлением 1,0 кОм 200 Гц. Для сигналов в рабочей полосе
ток покоя коллектора составляет 1,0 мА. частот (выше частоты, соответствующей
Этот ток создает на коллекторе напряже­ точке — 3 дБ) конденсатором емкостью
ние + 10 В (+20 В минус падение н а­ 0,1 мкФ можно пренебречь и учитывать
пряжения на сопротивлении 10 кОм при только сопротивление 8 кОм, соединен­
протекании тока 1,0 мА). Допустим теперь, ное с ним последовательно.
что на базу подан сигнал иБ. Напряжение Выходное сопротивление определяется
на эмиттере повторяет изменение напря­ как параллельное соединение сопротивле­
жения на базе «э - мБ и вызывает измене­ ния 10 кОм и выходного сопротивления
ние эмиттерного тока: транзистора со стороны коллектора. Что
же получается? Если бы не коллекторный
К= = "б/Лэ- резистор, то схема не отличалась бы от
и приблизительно такое же изменение источника тока. Коллектор обладает очень
коллекторного тока (транзистор имеет большим сопротивлением (порядка мега-
большой коэффициент h2|Э). Итак, перво­ Ом), поэтому выходное сопротивление
начальное изменение напряжения на базе определяется коллекторным резистором,
вызывает изменение коллекторного на­ с о п р о т и в л е н и е к о то р о го с о с т а в л я е т
пряжения: 10 кОм. Напомним, что сопротивление
со стороны коллектора велико, а со сто­
"к = U К = - UJ RJ RJ- роны эмиттера мало (как и в схеме эмит­
Стоп! Получается, что схема представля­ терного повторителя). В выходном сопро­
ет собой усилитель напряжения, коэф ф и­ тивлении усилителя с общим эмиттером
циент усиления которого определяется преобладает сопротивление резистора на­
следующим образом: грузки, стоящего в цепи коллектора, а
выходное сопротивление эмиттерного по­
Коэффициент вторителя определяется выходным сопро­
усиления = uBJ u m = - R J R 3. тивлением транзистора со стороны эмит­
В нашем примере коэффициент усиле­ тера, а не сопротивлением нагрузки, сто­
ния равен 10000/1000, или 10. Знак минус ящей в цепи эмиттера.
Транзисторы 85

2.08. Схема расщепления фазы


с единичным коэффициентом усиления

Иногда полезно иметь сигнал и его ин­


версию, т. е. два однородных сигнала,
сдвинутые друг относительно друга по
фазе на 180°. Получить такие сигналы
нетрудно - нужно воспользоваться усили­
телем с общим эмиттером, коэффициент
усиления которого равен — 1 (рис. 2.28).
Напряжение покоя на коллекторе устанав­
ливают равным 0,75 UKKвместо привычно­
го значения 0,5 UKK. Это делается с уже Рис. 2.29. Схема расщепления фазы с постоянной
известной нам целью — получить симмет­ амплитудой.
ричный выходной сигнал без срезов на лю­
бом из выходов. Напряжение на коллек­ действительной оси. Направления векто­
торе может изменяться от 0,5 UKKдо £/кк, а ров, соответствующих сигналам UR и UK,
на эмиттере — от потенциала земли до должны быть такими, чтобы этим двум
0,5 UKK. Обращаем ваше внимание на то, векторам соответствовал вектор постоян­
что для симметричного усиления выходы ной длины, направленный вдоль действи­
схемы следует нагружать одинаковыми (или тельной оси. В геометрии есть теорема,
очень большими) импедансами. согласно которой геометрическим местом
Фазовращатель. На рис. 2.29 показан таких точек служит окружность. Итак,
хороший пример использования схемы результирующий вектор (выходное напря­
расщепления фазы выходного сигнала. жение) всегда имеет единичную длину,
Схема позволяет регулировать фазу вы­ т. е. такую же, как вектор входного сиг­
ходного синусоидального сигнала (от нала, так как R может изменяться от нуля
нуля до 180°) при условии, что входной до значений, значительно превышающих
сигнал тоже представляет собой синусо­ ZK на рабочей частоте. Обратите внима­
иду; амплитуда сигнала при регулировке ние, что величина фазового сдвига при
фазы сохраняется постоянной. Работу данном положении потенциометра R за­
схемы помогает понять векторная диаграм­ висит также от частоты входного сигна­
ма напряжений (см. гл. 1), для нашей ла. Следует отметить, что в качестве схе­
схемы представленная на рис. 2.30; вход­ мы, обеспечивающей регулируемый сдвиг
ной сигнал на ней изображен в виде еди­ ф аз, мож но использовать простейш ий
ничного вектора, направленного вдоль ЯСфильтр высоких (или низких) частот.
Правда, в этом случае при регулировке
--------+ 20 В
фазы амплитуда выходного сигнала изме­
няется в широком диапазоне.
1 50
кОм О тметим такж е, что ф азовращ атель
Vv/v RC-типа нагружает схему расщ епления
15 В
-О 0 ,
о-
■Л, 5,6 В

5 В
-о 0 ,
56
кОм

I
Рис. 2.28. Схема расщепления фазы с единичным Рис. 2.30. Векторная диаграмма для схемы расщепле­
коэффициентом усиления. ния фазы.
86 Глава 2

фазы. В идеальном случае нагрузка пред­ Коэффициент передачи представляет со­


ставляет собой импеданс, который велик бой отношение выходного сигнала к вход­
по сравнению с коллекторным и эмит- ному; в данном случае он измеряется в
терным резисторами. П оэтому данная единицах [ток/напряж ение] или [1/с о ­
схема не может применяться в случаях,, противление]. Величина, обратная со­
когда требуется обеспечить широкий диа­ противлению, называется проводимостью
пазон фазовых сдвигов. В следующей (величина, обратная реактивному сопро­
главе приведена улучшенная схема фазо­ тивлению , называется реактивной про­
вращателя. водимостью; величина, обратная импедансу
или полному сопротивлению, называется
2.09. Крутизна полной проводимостью), и единицей ее из­
мерения служит сименс, раньше эту еди­
В предыдущем разделе мы проанализи­ ницу измерения называли мо (обратный
ровали работу усилителя с общим эмит­ ом). Если коэф ф ициент передачи изме­
тером следующим образом: а) предполо­ ряется в единицах проводимости, то та­
жив, что сигнал (напряж ение) на базе кой усилитель называется усилителем с
изменяется в некоторых пределах, обна­ передаточной проводимостью; отношение
ружили, что напряжение на эмиттере име­ /ых/Um называется крутизной и обозна­
ет такой же размах; б) подсчитали эмит­ чается дт.
терный ток; затем, пренебрегая незначи­ И так, одна часть схемы представляет
тельным влиянием тока базы, определили собой усилитель с передаточной прово­
размах коллекторного тока и в) коллек­ димостью, коэффициент передачи кото­
торного напряжения. При этом коэф ф и­ рого (крутизна) составляет 1 мА/В
циент усиления есть просто отношение (1000 мкСм или 1 мСм, а это есть не что
коллекторного напряжения (выходного) иное, как 1/Лэ). Другая часть схемы пред­
к напряжению на базе (входному). ставляет собой нагрузочны й резистор
Рассмотрим работу усилителя этого («усилитель»), преобразующий ток в на­
типа с другой точки зрения. М ысленно пряжение. Резистор можно назвать уси­
р ас ч л ен и м сх ем у , к а к п о к а з а н о на лителем с передаточным сопротивлением,
рис. 2.31. Одна часть представляет со­ его коэффициент усиления измеряется в
бой управляемый напряжением источник единицах [напряжение/ток], т. е. в еди­
тока, его ток покоя равен 1,0 мА, а ко­ ницах сопротивления. В данном случае на­
эффициент передачи составляет — 1 мА/В. пряжение покоя (рабочее напряжение) —
это U KK, а коэффициент передачи (пере­
даточное сопротивление) равен 10 кВ/А
(10 кОм), а это есть не что иное, как RK.
Соединив эти две части последовательно,
получим усилитель напряжения, общее
усиление которого определяется произве­
дением коэффициентов передачи состав­
ных частей. В данном случае: К = gmRK=
= Rt / R 3 = _ Ю — безразмерная величина,
равная отношению [(выходное напряже-
ние)/(входное напряжение)].
О писанный метод очень полезен для
анализа усилителей, так как позволяет
рассматривать составные части схемы не­
зависимо друг от друга. Например, для
усилителя с передаточной проводимос­
Рис. 2.31. Усилитель с общим эмиттером в каче­ тью можно оценить величину дтдля схем
стве каскада с передаточной проводимостью, управ­ различной конфигурации и для иных эле­
ляющий нагрузкой (резистивной). ментов, например для полевых транзис­
Транзисторы 87

торов. Затем можно рассмотреть нагруз­ вимся. Модель, которую мы сейчас рас­
ку (или часть схемы с передаточным со­ смотрим, будет достаточно точна и удов­
противлением) и оценить, как связан ко­ летворит нас в дальнейшем.
эффициент усиления с диапазоном изме­
нения напряжения. Если вас интересует МОДЕЛЬ ЭБЕРСА-МОЛЛА
общее усиление по напряжению, то его ДЛЯ ОСНОВНЫХ
можно определить следующим образом: ТРАНЗИСТОРНЫХ СХЕМ
Ки = дтгт, где гт- передаточное сопро­
тивление нагрузки. В конечном счете за­ 2.10. Улучшенная модель транзистора:
мена простой активной нагрузки схемой усилитель с передаточной проводимостью
с высоким передаточным сопротивлени­ (крутизной)
ем позволяет получать для одного каска­
да усилителя величину коэф ф и ци ен та Существенную поправку следует внести в
усиления, равную 10000 и выше. С по­ правило 4 (разд. 2.01), которое определя­
мощью описанного метода удобно рас­ ет, что 4 = ^2|э 4 Мы рассматривали тран­
сматривать каскодный усилитель, с ко ­ зистор как усилитель тока, вход которого
торым вы познакомитесь ниже. работает как диод. Это приближение яв­
В гл. 4, где расматриваются операци­ ляется грубым, но для некоторых практи­
онные усилители, приведено немало при­ ческих случаев большей точности и не
меров усилителей, на входах и выходах требуется. Однако для того чтобы понять,
которых действуют напряжения и токи, как работают дифференциальные усили­
усилители напряжения, усилители тока, тели, логарифмические преобразователи,
усилители с передаточной проводимос­ схемы температурной компенсации и не­
тью, усилители с передаточным сопротив­ которые другие практически полезные
лением. схемы, следует рассматривать транзистор
Предельный коэффициент усиления: гра­ как элемент с передаточной проводимос­
ницы применимости простейшей модели тью — коллекторный ток в нем определя­
транзистора. В соответствии с нашей мо­ ется напряжением между базой и эмитте­
делью коэффициент усиления по напря­ ром.
жению усилителя с общим эмиттером ра­ Итак, правило 4 в измененном виде:
вен ^ R J R 3. Что произойдет, если сопро­ 4. Если правила 1—3 соблюдены (разд.
тивление R3 будет уменьшаться, стремясь 2.01), то ток / к связан с напряжением £/БЭ
к нулю? Согласно уравнению, коэффици­ следующей зависимостью:
ент усиления будет при этом беспредельно
возрастать. Однако измерения, выполнен­ 4 = /„аДеХР(^Бэ/*/т) - П,
ные в рассмотренной выше схеме, пока­ где ит = kT /q = 25,3 мВ при комнатной
жут, что, хотя при постоянном токе по­ температуре (20 °С), q - заряд электрона
коя, равном 1 мА, коэффициент усиления (1,60-10“19 Кл), к - постоянная Больцмана
и растет, при R3 - 0 (эмиттер заземлен) (1,38 х Ю~23 Д ж /К ), Т - аб со л ю тн ая
он становится равным всего 400. Окажет­ температура в кельвинах (К = °С + 273,16),
ся также, что усилитель начнет при этом / ас - ток насыщения транзистора (зависит
работать как нелинейный элемент (выход­ от 7). Тогда ток базы, который также за­
ной сигнал не воспроизводит по форме в висит от [/ъэ, можно приблизительно оп ­
точности входной), входное сопротивле­ ределить так:
ние становится небольшим и нелинейным,
а смещение начинает зависеть от темпера­
туры. Очевидно, что модель транзистора, где «постоянная» h2|Э обычно принимает
которой мы пользовались, несовершенна зн ач ен и я от 20 до 1000 и зави си т от
и ее необходимо дополнить, чтобы она транзистора, / к, £/кэ и температуры. Ток
пришла в соответствие с измерениями, /НаСпредставляет собой обратный ток эмит-
описанными выше, и некоторыми други­ терного перехода. В активной области
ми фактами, на которых мы еще остано­ / » /нас и членом - 1 можно пренебречь.
88 Глава 2

Согласно уравнению Эберса—М олла,


напряж ение между базой и эмиттером
«управляет» коллекторным током, одна­
ко это свойство нельзя использовать не­
посредственно на практике (создавать
смещение в транзисторе с помощью на­
пряжения, подаваемого на базу), так как
велик температурный коэффициент н а­
пряжения между базой и эмиттером. В даль­
нейшем вы увидите, как уравнение Эбер­
са—Молла помогает решить эту проблему.
Практические правила для разработки
транзисторных схем. На основании урав­
л л -1 0 I______1 I______I. I I______I______I______I
0,1 0,2 0,3 0,4 0,5 0,6 0,7 0,8 нения Эберса—Молла получены некото­
рые зависимости, которые часто исполь­
зуют при разработке схем:
Рис. 2.32. Зависимость базового и коллекторного 1. Ступенчатая характеристика диода. На
токов транзистора от напряжения между базой и сколько нужно увеличить напряжение 11ъэ,
эмиттером.
чтобы ток / к увеличился в 10 раз? Из
уравнения Эберса-М олла следует, что ЧБЭ
Уравнение для / к известно под назва­ нуж но увеличить на £/Tloge10, или на
нием «уравнение Эберса—Молла». Оно 60 мВ при комнатной температуре. На­
приблизительно описывает также зависи­ пряжение на базе увеличивается на 60 мВ
мость тока от напряжения для диода, если при увеличении коллекторного тока в 10 раз.
иу умножается на корректировочный ко­ Эквивалентным является следующее вы­
эффициент m со значением между 1 и 2. ражение / к = IKOe AU/2i, где AU измеряется
Следует запомнить, что в транзисторе в милливольтах.
коллекторный ток зависит от напряжения 2. Импеданс для малого сигнала со сто­
между базой и эмиттером, а не от тока роны эмиттера при фиксированном напря­
базы (ток базы в грубом приближении оп­ жении на базе. Возьмем производную от
ределяется коэффициентом h2l3). Экспо­ и ъэ по / к: гъ = UT/ I K - 2 5 //к Ом, где ток
ненциальная зависимость между током / к / к измеряется в миллиамперах. Величина
и напряжением 11ъэ точно соблюдается 2 5 //к Ом соответствует комнатной темпе­
в большом диапазоне токов, обычно от ратуре. Это собственное сопротивление
наноампер до миллиампер. На рис. 2.32 эмиттера гэ выступает в качестве последо­
приведен график этой зависимости. Если вательного для эмиттерной цепи во всех
измерить ток базы при различных значе­ транзисторных схемах. Оно ограничива­
ниях коллекторного тока, то получим гра­ ет усиление усилителя с заземленны м
фик зависимости й2|Э от / к (рис. 2.33). эмиттером, приводит к тому, что коэф ­
фициент усиления эмиттерного повтори­
теля имеет значение чуть меньше едини­
цы и не позволяет выходному сопротив­
лению эмиттерного повторителя стать
равным нулю. Этот параметр относится
к параметрам малого сигнала. Отметим,
что крутизна для усилителя с заземлен­
ным эмиттером определяется следующим
образом: дт = 1/г э
3. Температурная зависимость. Глядя на
Рис. 2.33. Типичная зависимость коэффициента
уравнение Эберса—Молла, можно пред­
усиления по току для транзистора (й2|Э) от коллек­ положить, что и ъэ имеет положительный
торного тока. температурный коэффициент. Однако, в
Транзисторы 89

связи с тем что ток / нас зависит от темпе­ ненадолго задержим свое внимание на
ратуры, напряжение и ъэ уменьшается на скромном эмиттерном повторителе. Со­
2,1 мВ/°С. В грубом приближении оно гласно модели Эберса—Молла эмиттерный
пропорционально \ / Т а6с, где Га6с - абсо­ повторитель долж ен иметь ненулевой
лютная температура. выходной импеданс даже в том случае, ког­
И еще одна зависимость пригодится нам да схемой управляет источник напряжения,
на практике, правда, она не связана с так как эмиттерный повторитель обладает
уравнением Эберса—Молла. Речь идет об вполне определенным сопротивлением
эффекте Эрли, описанном в разд. 2.06, гэ (см. предыдущ ий раздел, пункт 2).
который накладывает ограничения на вы­ По той же причине усиление по напря­
ходную характеристику транзистора как жению будет немного меньше единицы,
источника тока. так как гэ и резистор нагрузки образуют
4. Эффект Эрли. UB3 хоть и в слабой ме­ делитель напряжения.
ре, но зависит от <7 при постоянном токе Эти явления нетрудно описать матема­
1 . Этот эффект обусловлен изменением тически. При фиксированном напряжении
эффективной ширины базы и описыва­ на базе импеданс со стороны эмиттера
ется следующей приблизительной зави­ есть не что иное, как Лвых = dU ^JdIv но
симостью: Ди ъэ = — аА (/ъэ, где а » 0,0001. / э = / к, поэтому 7?вых *= г., — собственное
Мы перечислили основные соотношения, сопротивление эмиттера [гэ = 2 5 //к(мА)].
которые могут быть полезны на практике. Например, на рис. 2.34, а импеданс со
Эти соотношения, а не сами уравнения стороны нагрузки гэ = 25 Ом, так как
Эберса—Молла, используются при разра­ / к = 1 мА. (Если используется эмиттер­
ботке транзисторных схем. ный резистор /?э) то образуется параллель­
ное соединение, на практике R3 всегда зна­
2.11. Еще раз об эмиттерном повторителе чительно больше, чем гэ.) На рис. 234, б
представлена более распространенная си­
Прежде чем мы еше раз рассмотрим уси­ туация —источник имеет конечное сопро­
литель с общим эмиттером, используя тивление Яист (для простоты в схеме опу­
преимущества новой модели транзистора, щены компоненты смещения — базовый

Рис. 2.34.
90 Глава 2

делитель и блокировочный конденсатор — бавлять к сопротивлению включенного в


эти компоненты присутствуют на рис. эмиттерную цепь резистора. Эго сопротив­
2.34, в). В этом случае выходной импеданс ление значительно в тех случаях, когда в
эмиттерного повторителя — это просто гэ цепь эмиттера включен небольшой резис­
в последовательном соединении с RKJ тор (или когда его нет вообще). Напри­
/(й 21Э + 1) (опять же в параллельном со­ мер, для усилителя, который мы рассмот­
единении с несущественным резистором рели выше, коэффициент усиления по на­
Лэ, если он присутствует). Н апример, пряжению равен —10 кО м/гэ, или —400,
если = 1 кОм и /к = 1 мА, то RBha = 35 Ом при условии, что сопротивление эмиттер­
(предположим, что й2|Э = 100). Нетрудно ного резистора равно нулю. Мы препола-
показать, что собственное сопротивление гали раньше, что входной импеданс /г21ЭR3
эмиттера гъ вносит также вклад во входной равен нулю при R3 = 0; на самом деле он
импеданс эмиттерного повторителя, как приблизительно равен h2l3r3 и в данном
если бы оно было соединено последова­ случае составляет около 2,5 кО м (ток
тельно с нагрузкой (на самом деле не с покоя равен 1 мА).
нагрузкой, а с параллельным соединени­ Мы уже упоминали усилитель с «зазем­
ем резистора, нагрузки и эмиттерного ленным эмиттером» и схемы «с общим
резистора). Другими словами, для схемы эмиттером». Эти схемы не следует путать.
эмиттерного повторителя эффект Эберса— Усилитель с «заземленным эмиттером»
Молла состоит просто в добавлении пос­ что усилитель с общим эмиттером, в кото­
ледовательно подключенного сопротивле­ ром R3 = 0. В усилительном каскаде
ния эмиттера гэ к полученным ранее ре­ с общим эмиттером может присутствовать
зультатам. эмиттерный резистор; особенность этой
Усиление по напряжению эмиттерного схемы состоит в том, что цепь эмиттера
повторителя несколько меньше единицы является общей для входа и выхода схемы.
из-за наличия делителя напряжения, об­ Недостатки однокаскадного усилителя с
разованного гэ и нагрузкой. Это нетруд­ заземленным эмиттером. Дополнительное
но вычислить, так как выход схемы нахо­ усиление, обусловленное отсутствием ра-
дится в точке соединения г, и R ' G., = зистора в эмиттерной цепи R3 = 0, мы по­
= Uв ы х /' U в х ;’ R н а г р /' (' г ,Э + R н а г р )Л
'
'а к и м лучаем за счет ухудшения некоторых па­
образом, если взять, например, повтори- раметров усилителя. К ак ни популярен
тель, ток затухания которого равен 1 мА, усилитель с заземленным эмиттером в
а нагрузка составляет 1 кОм, то его уси­ учебниках, на практике его следует ис­
ление по напряжению будет равно 0,976. пользовать только в схемах, охваченных
Инженерам иногда нравится считать уси­ обш ей петлей отрицательной обратной
ление в единицах сверхпроводимости для связи. Для того чтобы понять, с чем это
получения выражения, подходящего так­ связано, рассмотрим рис. 2.35.
же для ОУ (см. разд. 3.07); в этом случае
(используя выражение b = 1/г .) получим +20 В
Си = К ^ Ь я/{ \ + RmJ m).

2.13. Еще раз об усилителе 10 кОм


с общим эмиттером
Выходной
Выше мы определили усиление по напря­ Входной сигнал
сигнал
жению для усилителя с общим эмиттером
при условии, что сопротивление эмиттер­ (связь по
ного резистора равно нулю, но результат пост, току)
получили неверный. Дело в том, что тран­
зистор обладает собственным эмиттер-
ным сопротивлением, равным 2 5 //к(мА) Рис. 2.35. Усилитель с общим эмиттером без отри­
(выражено в омах), которое следует до­ цательной обратной связи в цепи эмиттера.
Транзисторы 91

нужный ток покоя в соответствии с урав­


нением Эберса—Молла. Однако так сде­
лать нельзя, потому что напряжение UB3
зависит от температуры (при фиксирован­
ном значении / к) и изменяется на 2,1 мВ/°С
(фактически напряжение уменьшается при
повышении температуры Т из-за того, что
изменяется ток / нас; в результате оказыва­
Рис. 2.36. Нелинейный выходной сигнал, снимаемый ется, что напряжение 11ъэ приблизительно
с усилителя с заземленным эмиттером. пропорционально 1/Г, где Т — абсолют­
ная температура). Это ведет к тому, что
1. Нелинейность. Коэффициент усиления коллекторный ток (при фиксированном
определяется выражением к = ~gmRK - значении иъэ) будет увеличиваться в 10 раз
= - R J r 3 = —RKIK (мА)/25, т. е. для тока при повышении температуры на 30 °С.
покоя 1 мА он равен -400. Но дело в том, Такая нестабильность делает смещение
что ток / к изменяется при изменении вход­ неработоспособным, так как даже неболь­
ного сигнала. В нашем примере коэффи­ шие колебания температуры будут приво­
циент усиления может изменяться от —800 дить усилитель в реж им н асы щ ен и я.
Например, если напряжение смещ ения
п’ 7к = 2 мА) до нуля
1К= 0). Если на входе действует треуголь­ сделать равным половине напряжения пи­
ный сигнал, то сигнал на выходе будет тания коллектора, то усилитель с зазем­
таким, как показано на рис. 2.36. Уси­ ленным эмиттером будет переходить в ре­
литель вносит большие искажения, т. е. жим насыщения при повышении темпе­
обладает плохой линейностью. Усилитель ратуры на 8 °С.
с заземленным эмиттером без обратной Упражнение 2.9. Убедитесь в том, что при повыше­
связи можно использовать лишь для н е­ нии температуры окружающей среды на 8°С усили­
больших диапазонов изменения сигнала тель с заземленным эмиттером и поданным на базу
вблизи точки покоя. Что же касается уси­ напряжением смещения переходит в режим насыще­
лителя с общим эмиттером, то его усиле­ ния. В исходном состоянии транзистор смещен так,
что UK = 0,5 UKK.
ние почти не зависит от коллекторного
тока, при условии что Яэ » гэ; он обеспе­ О том, как решается задача смещения,
чивает усиление без искажений в боль­ вы узнаете из следующих разделов. Что
шом диапазоне изменения сигнала. касается усилителя с общим эмиттером,
2. Входное сопротивление. Входное сопро­ то здесь стабильное смещение создается с
тивление приблизительно равно ZBX = помощью напряжения, приложенного к
= И21эгэ = (25й2|Э/ / к(мА)) О м . Здесь мы базе; больш ая часть этого напряж ения
опять сталкиваемся с тем, что ток / к приходится на резистор в цепи эмиттера,
изменяется при изменении выходного сиг­ тем самым обеспечивается постоянный
нала, а значит меняется и входное сопро­ ток покоя.
тивление. Если источник, питающий базу, Эмиттерный резистор в качестве элемента
обладает небольшим выходным сопротив­ обратной связи. Если к собственному со­
лением, то вы получите нелинейный пе­ противлению эмиттера добавить сопротив­
ременный делитель напряжения, образо­ ление внешнего эмитгерного резистора,
ванный источником сигнала и входным то многие параметры усилителя с общим
сопротивлением усилителя. Что касается эмиттером улучш атся, правда, за счет
усилителя с общим эмиттером, то он об­ снижения коэффициента усиления. А на­
ладает постоянным и высоким входным логичное явление рассматривается в сле­
сопротивлением. дующих двух главах, посвящ енных и с­
3. Смещение. В усилителе с заземленным пользованию отрицательной обратной
эмиттером смещение выполнить трудно. связи, позволяющей улучшить характе­
Возникает соблазн просто подать напря­ ристики усилителя за счет частичной п е­
жение (с делителя), которое обеспечит редачи выходного сигнала на вход. Это
92 Глава 2

не простое совпадение, дело в том, что в


усилителе с общим эмиттером использует­
ся одна из форм отрицательной обратной
связи. Представим себе, что транзистор —
это элемент с передаточной крутизной,
в котором коллекторный ток (а следова­
тельно, и выходное напряжение) зависит
от напряжения, действующего между ба­
зой и эмиттером; на вход усилителя пода­
ется напряжение, действующее между ба­
зой и землей. Входное напряжение пред­
ставляет собой напряжение между эмитте­
ром и базой минус напряжение ( /ЭЛЭ).
Следовательно, в схеме с общим эмитте­
ром действует отрицательная обратная
связь, и благодаря этому улучшаются ха­ Рис. 2.37. Шунтируемый резистор в эмиттерной
рактеристики усилителя (высокая линей­ цепи можно использовать для получения стабильно­
ность и стабильность, большой входной го смещения в усилителе с заземленным эмиттером.
импеданс; выходной импеданс можно
уменьшить, если ввести обратную связь Ш унтирующий эмиттерный конденсатор
непосредственно с коллектора). Это лишь следует выбирать так, чтобы его импеданс
первое знакомство с обратной связью, но был небольшим по сравнению с гэ (а не с
и оно позволяет оценить значение мате­ R3) на самой низкой из интересующих вас
риала, изложенного в гл. 4—5. частот. В данном случае его импеданс
составляет 25 Ом на частоте 650 Гц.
2.13. Смещение в усилителе В диапазоне рабочих частот входного сиг­
с общим эмиттером нала для выбора входного конденсатора
меж каскадной связи сущ ественно, что
Существует возможность задать смещение входное сопротивление схемы определя­
в усилителе с общим эмиттером и при ется параллельным соединением сопро­
необходимости получения максимально тивления 10 кОм и входного сопротивле­
возможного коэффициента усиления (или ния транзистора со стороны базы, в дан­
если усилительный каскад охвачен петлей ном случае - это сопротивление 25 Ом,
обратной связи). Есть три варианта схем умноженное на й21э, т. е. приблизительно
смещения, которые можно комбинировать 2,5 кОм. Для сигналов постоянного тока
между собой: с помощью шунтируемого сопротивление со стороны базы значи­
резистора в эмиттерной цепи, с помощью тельно больше (сопротивление эмиттер­
согласованного транзистора и с помощью ного резистора, умноженное на h2b, т. е.
обратной связи по постоянному току. приблизительно 100 кОм), и именно бла­
Шунтируемый резистор в эмиттерной годаря этому можно обеспечить стабиль­
цепи. Смещение можно обеспечить с по­ ное смещение.
мощью шунтируемого резистора в эмит­ Одна из разновидностей рассмотренной
терной цепи, как показано на рис. 2.37. схемы отличается использованием в эмит­
Для того чтобы облегчить задачу создания терной цепи двух последовательных рези­
смещения, резистор R3 выбран так, что сторов, один из которых шунтируется.
его сопротивление составляет 0, 1ЛК; если Например, нужно спроектировать усили­
резистор R3 слишком мал, то напряжение тель, коэф ф ициент усиления которого
на эмиттере будет намного меньше, чем равен 50, ток покоя - 1 мА, а напряже­
падение напряжения между базой и эмит­ ние U KKсоставляет +20 В; частота сигна­
тером, а это приведет к температурной ла может изменяться от 20 Гц до 20 кГц.
нестабильности точки покоя, так как на­ Если для решения поставленной задачи
пряжение 11ъэ зависит от температуры. вы выберете схему с общим эмиттером,
Транзисторы 93

Рис. 2.38.
Рис. 2.39. Усилитель с общим эмиттером, облада­
то получите усилитель, показанны й на ющий стабильным смешением, линейностью и боль­
рис. 2.38. Коллекторный резистор выб­ шим коэффициентом усиления по напряжению.
ран так, чтобы коллекторное напряжение стабильным (хороший результат дает со­
покоя составляло 0,5 UKK. Эмиттерный ре­ противление, в 10 раз меньшее коллек­
зистор выбран с учетом требуемого значе­ торного). Напряжение базы выбрано так,
ния коэффициента усиления и влияния гэ, чтобы ток эмиттера был равен 1 мА, при
составляющего 2 5 //к (мА). Трудность со­ условии что сопротивление цепи смеще­
стоит в том, что эмиттерное напряжение, ния составляет десятую часть от сопротив­
равное лишь 0,175 В, будет подвержено ления по постоянному току со стороны
существенным изменениям. Дело в том, базы (в данном случае около 100 кОм).
что падение напряжения на переходе б аза- Сопротивление шунтирующего конденса­
эмиттер, равное « 0,6 В, зависит от тем­ тора в цепи эмиттера должно быть неболь­
пературы (относительное изменение со­ шим по сравнению с сопротивлением
ставляет примерно — 2,1 мВ/°С), тогда как 180 + 25 Ом на самой низкой частоте
напряжение на базе поддерживается по­ диапазона. И наконец, входной конден­
стоянны м с пом ощ ью рези сторов Л, сатор межкаскадной связи должен иметь
и Rr например, вы можете убедиться, что небольшой импеданс по сравнению с вход­
при увеличении температуры на 20 °С кол­ ным сопротивлением усилителя на часто­
лекторный ток возрастает примерно на те входного сигнала, которое определяется
25%.
Это неприятное явление можно устра­
нить, если включить в эмиттерную цепь
дополнительный зашунтированный кон ­
денсатором резистор, который не будет
влиять на коэффициент усиления в рабо­
чем диапазоне частот (рис. 2.39). Как и в
предыдущей схеме, коллекторный резис­
тор выбран здесь так, чтобы напряжение
на коллекторе было равно 10 В (0,5 UKK).
Нешунтируемый резистор в цепи эмитте­
ра выбран таким образом, чтобы с учетом
собственного сопротивления эмиттера,
составляющего гэ = 2 5 // (мА), коэффици­
ент усиления был равен 50. Дополнитель­
ное сопротивление в цепи эмиттера дол­ Рис. 2.40. Другой вариант схемы, показанной
жно быть таким, чтобы смещение было на рис. 2.39.
94 Глава 2

+20 В +20 В

п п
i 20 кОм
10
кОм

+10 в
20 кОм
10
1 кОм

+10 В
10 кОм 10 кОм
10 кОм 1 0 кОм

- к с±
180
I Ом
-± - Входной -X - Входной
сигнал— сигнатл—

Рис. 2.41. Схема смещения, в которой компенсируется падение напряжения между базой и эмиттером Ub3.

параллельным соединением сопротивле­ условиях. Вот чем хороши «монолитные»


ния делителя напряжения и сопротивле­ сдвоенные транзисторы.
ния (180 + 25)/Л21э О м (на частотах вход­ Обратная связь по постоянному току.
ного сигнала сопротивление 820 Ом шун­ Для стабилизации точки покоя (рабочей
тировано конденсатором и равноценно точки) мож но использовать обратную
замкнутой накоротко цепи). связь по постоянному току. Один из ме­
В другом варианте этой схемы цепи тодов такой стабилизации показан на рис.
сигнала и постоянного тока разделены 2.42. Определенное улучшение стабиль­
(рис. 2.40). Это разделение позволяет ности можно получить, если напряжение
изменять коэффициент усиления (за счет смещения подавать с коллектора, а не от
резистора 180 Ом), не изменяя смешения. источника £/кк. Напряжение на базе пре­
Использование согласованного транзис­ вышает потенциал земли на величину па­
тора. Для получения напряжения базы, дения напряжения на диоде; так как на­
обеспечивающего нужный ток коллекто­ пряжение смещения снимается с делителя
ра, можно использовать согласованные +15 в
транзисторы, при этом будет обеспечена
автоматическая температурная компенса­
ция (рис. 2.41). В цепи коллектора тран­
зистора Г, протекает ток 1 мА, потенциал
коллектора близок потенциалу земли (точ­
нее, превышает потенциал земли пример­
но на величину падения напряжения t/B3);
если транзисторы Тх и Т2 представляют
собой согласованную пару (например, два
транзистора, изготовленных на одном кри­
сталле кремния), то смещение транзис­
тора Т2 будет таким, что этот транзистор
также будет порождать ток 1 мА и напря­
жение на его коллекторе будет равно
+ 10 В, при этом симметричный сигнал
на коллекторе может иметь размах ±10 В.
Изменение температуры не влияет на ра­
боту схемы, так как оба транзистора на­ Рис. 2.42. Стабильность смещения обеспечивается
ходятся в одинаковы х тем пературны х за счет обратной связи.
Транзисторы 95

ния по напряжению и входной и выход­


ной импедансы данной схемы.
Отметим, что сопротивление резистора
смещения базы можно увеличить, и тогда
увеличится входной импеданс схемы, но
ток базы уже нельзя будет считать пре­
небрежимо малым. М ожно, например,
взять такие резисторы: /?, = 220 кОм и
Rj ~ 33 кОм. Другая возможность состоит
в том, что в цепь обратной связи можно
включить шунтирующий конденсатор, как
показано на рис. 2.43. При этом удается
избавиться от обратной связи (а следова­
тельно, и от пониженного входного им ­
Рис. 2.43. Устранение обратной связи на частотах
педанса) на частотах сигнала.
сигнала. Некоторые замечания относительно сме­
щения и усиления. Первое важное заме­
10:1, то напряжение на коллекторе превы­ чание касается усилительных каскадов с
шает потенциал земли на величину, рав­ заземленным эмиттером: создается впечат­
ную падению напряжения на диоде, увели­ ление, что коэффициент усиления по на­
ченному в 11 раз, т. е. составляет * 7 В. пряжению можно увеличить за счет уве­
Эта схема уменьшает склонность к насы­ личения тока покоя, так как собственное
щению (которая может возникнуть, н а­ сопротивление эмиттера гэ уменьшается
пример, если коэффициент р будет н е­ при увеличении тока. Однако, хотя гэ
обычно большим) за счет того, что при и уменьшается при увеличении коллектор­
уменьшении коллекторного напряжения ного тока, для получения того же самого
уменьшается напряжение смещения на рабочего напряжения на коллекторе при­
базе. Эту схему можно использовать в тех ходится использовать меньший коллектор­
случаях, когда не нужна высокая стабиль­ ный резистор, и в результате выигрыша
ность. Точка покоя (выхода) подвержена нет. На самом деле можно показать, что
дрейфу примерно на 1 В за счет измене­ в усилителе с заземленным эмиттером,
ний температуры окружающей среды. Это смещенным так, что напряжение покоя
связано с тем, что напряжение между ба­ составляет 0,5 t/KK, коэффициент усиле­
зой и эмиттером имеет большой темпера­ ния по напряжению для малого сигнала
турный коэффициент. Большей стабиль­ равен К = 20 (JKK независимо от величи­
ностью обладает схема, в которой петля ны тока покоя (рабочего тока).
обратной связи охватывает несколько кас­
Упражнение 2.10. Докажите, что сделанное выше
кадов усиления. Примеры вы увидите там, утверждение справедливо.
где речь пойдет об обратной связи.
Для того чтобы понять, как работает эта Если требуется увеличить коэффициент
схема, нужно внимательнее рассмотреть усиления каскада по напряжению, то мож­
обратную связь. Н апри м ер, обратная но, например, в качестве активной нагруз­
связь уменьшает входной и выходной им- ки использовать источник тока. Так как
педансы. Для входного сигнала сопро­ источник тока обладает очень большим
тивление Я, уменьшено за счет усиления импедансом, то на одном каскаде можно
по напряжению, которым обладает кас­ получить коэффициент усиления по на­
кад. В данном случае резистор /?, экви­ пряжению, равный 1000 и выше. Такой
валентен резистору с сопротивлением подход не пригоден в схемах со смещ ени­
200 Ом, один конец которого заземлен. ем, которые мы рассмотрели выше; кас­
В следующей главе мы рассмотрим об­ кад должен являться частью схемы, охва­
ратную связь более подробно, и тогда вы ченной общей петлей обратной связи по
сможете определить коэффициент усиле­ постоянному току. Об этом поговорим
96 Глава 2

в следующей главе. Внешняя нагрузка та­ ■+и„


кого усилителя обязательно должна быть
велика, в противном случае усиление, по­
лученное за счет большого коллекторного
сопротивления, будет потеряно. В каче­
стве такой высокоомной нагрузки можно £ I Токовое
{ зеркало 1 = 1 р
использовать эмиттерный повторитель,
полевой транзистор или операционный
усилитель.
В радиочастотных усилителях, предназ­
наченных для резонансного усиления в
узкой полосе частот, в качестве коллек­
торной нагрузки принято использовать Управляющий
параллельный /,С-контур; в этом случае (в х о д н о й )то к / р
можно получить очень большой коэф ф и­
Рис. 2.44. Классическая схема токового зеркала на
циент усиления по напряжению, так как основе согласованной пары биполярных транзисто­
на частоте сигнала 1C -контур обладает ров. Отметим, что положительное питающее напря­
большим импедансом (как источник то­ жение принято обозначать UKK даже в тех случаях,
ка), а его импеданс по постоянному току когда используются транзисторы р —п —р-типа.
мал. I C -контур можно перестраивать и
благодаря резонансной характеристике он ток, что задан для Tv Небольшими базо­
подавляет сигналы, лежащие за предела­ выми токами можно пренебречь.
ми рабочего диапазона. К преимуществам Одно из достоинств описанной схемы
этой схемы можно отнести также возмож­ состоит в том, что ее диапазон устойчиво­
ность получения размаха выходного сиг­ сти по напряжению равен UKK за вычетом
нала, равного 2 UK„, и возможность ис­ нескольких десятых долей вольта, так как
пользования трансформаторной связи. нет падения напряжения на эмиттерном
Упражнение 2.11. Разработайте резонансный уси­ резисторе. Кроме того, во многих случа­
лительный каскад с общим эмиттером для частоты ях удобно задавать ток с помощью тока.
10 кГц. Используйте в схеме шунтированный эмит­ Легче всего получить управляющий ток
терный резистор и установите ток покоя величиной / с пом ощ ью рези стора (рис. 2.45).
1 мА. Пусть (JKK = + 15 В, a L = 1,0 мГн; параллель­
но iC -контуру подключите резистор 6,2 кОм, с тем
В связи с тем, что эмиттерные переходы
чтобы получить Q = 1 0 (ширина полосы 10%, см. транзисторов представляют собой диоды,
разд. 1.22). Для межкаскадной связи используйте на падение напряжения на которых мало по
входе конденсатор. сравнению с UKK, резистор 14,4 кОм фор­
мирует управляющий, а следовательно, и
2.14. Токовые зеркала выходной ток величиной 1 мА. Токовые
ип + 15 В
От схемы смещения с использованием
согласованной пары транзисторов легко
перейти к так называемому токовому зер­
калу (рис. 2.44). Работа токового зеркала
«программируется» путем задания кол­
лекторного тока транзистора Ту Н апря­
жение 1/ъэ для Тх устанавливается в соот­
ветствии с заданным током, температу­
рой о к р у ж аю щ ей ср ед ы и ти п о м Нагрузка
транзистора. В результате оказывается за­
7пр| 1^14,4 кОм
данным режим схемы, и транзистор Т2,
согласованный с транзистором Тх (лучше
всего использовать монолитный сдвоенный
транзистор), передает в нагрузку такой же Рис. 2.45.
Транзисторы 97

зеркала можно использовать в тех случа­


ях, когда в транзисторной схеме необхо­
дим источник тока. Их широко исполь­
зуют при проектировании интегральных
схем, когда: а) под рукой есть много со­
гласованных транзисторов и б) разработ­
чик хочет создать схему, которая бы ра­
ботала в широком диапазоне питающих
напряжений. Существуют даже безрези-
сторные интегральные операционные уси­
лители, в которых режимный ток всего
усилителя задается с помощью одного
внешнего резистора, а токи отдельных Рис. 2.47. Улучшенная схема токового зеркала.
внутренних усилительных каскадов фор­
мируются с помощью токовых зеркал. показанная на рис. 2.47. Эмиттерные ре­
Недостатки токовых зеркал, обусловлен­ зисторы выбраны таким образом, что па­
ные эффектом Эрли. Простое токовое зер­ дение напряжения на них составляет н е­
кало обладает одним недостатком: вы ­ сколько десятых долей вольта; такая схе­
ходной ток несколько изменяется при ма — гораздо лучший источник тока, так
изменении выходного напряжения, т. е. как в ней изменения напряж ения и ъэ,
выходное сопротивление схемы не беско­ обусловленные изменениями напряжения
нечно. Это связано с тем, что при задан­ UK3, оказывают пренебрежимо малое вли­
ном токе транзистора Т2 напряжение [/ъэ яние на выходной ток. В этой схеме так­
слегка меняется в зависимости от коллек­ же следует использовать согласованные
торного напряжения (проявление эффекта транзисторы.
Эрли); иначе говоря, график зависимости Токовое зеркало Уилсона. Н а рис. 2.48
коллекторного тока от напряжения'между представлено еще одно токовое зеркало,
коллектором и эмиттером при фиксиро­ обеспечивающее высокую степень посто­
ванном напряжении между базой и эмит­ ян ств а вы ходного тока. Т ран зи сторы
тером не является горизонтальной линией Т, и Тг включены как в обычном токовом
(рис. 2.46). Практически ток может изме­ зеркале. Благодаря транзистору Т} потен­
няться приблизительно на 25% в диапазо­ циал коллектора транзистора 7J фиксиро-
не устойчивой работы схемы, т. е. харак­
теристики такой схемы существенно хуже,
чем характеристики рассмотренного вы­
ше источника тока с эмиттерным резисто­
ром.
Если же нужен более высококачествен­
ный источник тока (чаще всего таких тре­
бований не возникает), то подойдет схема,

Рис. 2.48. Токовое зеркало Уилсона. Влияние изме­


нений напряжения на нагрузке на выходной ток по­
давлено за счет каскодного включения транзистора Тъ,
которое позволяет уменьшить изменения напряже­
Рис. 2.46. ния транзистора Ту
98 Глава 2

ток
Рис. 2.49. Схема токового зеркала с несколькими Рис. 2.50.
выходами. Эта схема широко используется для полу­
чения нескольких программируемых источников тока.

ван и на удвоенную величину падения Схемы с несколькими выходами и коэф­


напряжения на диоде ниже, чем напря­ фициенты отражения тока. Схему токо­
жение питания £/к„. Такое включение по­ вого зеркала можно построить так, что вы­
зволяет подавить эффект Эрли в транзисто­ текаю щ ий выходной ток (или втекаю ­
ре Тх, коллектор которого теперь служит щий — в случае использования транзис­
для задания режима работы схемы; выход­ торов п - р - п -типа) будет передаваться в
ной ток определяется транзистором Тг несколько нагрузок. О том, как эта идея
Транзистор Г3 не влияет на баланс токов, воплощается в жизнь, дает представление
если его базовый ток пренебрежимо мал; схема, изображенная на рис. 2.49. Отме­
его единственная функция состоит в том, тим, что если один из транзисторов-источ-
чтобы зафиксировать потенциал коллектора ников тока переходит в режим насыще­
Тг В результате в токозадающих транзи­ ния (в том случае, например, когда от­
сторах Г, и Т2 падения напряжения на ключается его нагрузка), то его база будет
эмиттерных переходах фиксированы; тран­ отбирать повышенный ток из общей ли­
зистор Г3 можно рассматривать как эле­ нии, соединяющей базы всех транзисто­
мент, который просто передает выходной ров, и в связи с этим уменьшаются ос­
ток в нагрузку, напряжение на которой тальные выходные токи. Положение мож­
является переменным (аналогичный при­ но улучшить, если включить в схему еще
ем используют при каскодном включении, один транзистор (рис. 2.50).
которое мы рассмотрим позже). Кстати, На рис. 2.51 представлены два вариан­
транзистор Т} не обязательно согласовы­ та многовыходного токового зеркала. Эти
вать с транзисторами 7j и Т2. схемы отражают удвоенный (или половин-

ток ток

а б
Рис. 2.51. Токовые зеркала, в которых коэффициент отражения тока отличен от 1:1.
Транзисторы 99

+10
0
-10
-20
а -30
* -40
а -50
-60
-70
-80
-90
-100
-120
0,01 0,02 0,05 ОД 0,2 0,5 1,0 2,0
^Кг/^К1
Рис. 2.53. Зависимость отношения коллекторных
эмиттерного резистора. Отметим, что выходной ток токов в согласованных парах транзисторов от разно­
здесь не кратен управляющему. сти напряжений база-эмитгер.

ный) управляющий ток. При разработке плотностей токов. Д ля согласованны х


токовых зеркал в интегральных схемах транзисторов отнош ение коллекторных
коэффициент отражения тока задают пу­ токов равно отношению плотностей то­
тем выбора размеров (площадей) эмит- ков. График на рис. 2.53 позволяет опре­
терных переходов. делить разность напряжений между базой
Фирма Texas Instruments предлагает то­ и эмиттером в подобном случае и полезен
ковые зеркала Уилсона в виде закончен­ при разработке токовых зеркал с нееди­
ных монолитных схем в удобных транзи­ ничным отражением.
сторных корпусах типа ТО -92. С ерия Упражнение 2.12. Покажите, что токовое зеркало
TL011 включает схемы, которые обеспе­ с неединичным отражением, показанное на рис.
чивают отнош ения 1:1, 1:2, 1:4 и 2:1, 2.52, работает так, как мы описали.
при этом диапазон устойчивости выход­
ного напряжения определяется значени­ НЕКОТОРЫЕ ТИПЫ
ями от 1,2 до 40 В. Схема Уилсона обла­ УСИЛИТЕЛЬНЫХ КАСКАДОВ
дает хорошими характеристиками источ­
ника тока —при постоянном программи­ 2.15. Двухтактные выходные каскады
рующем токе выходной ток увеличивает­
ся только на 0,05% на вольт — помимо В этой главе уже было отмечено, что если
всего она очень недорога (50 центов и в эмиттерном повторителе используется
дешевле). К сожалению, эти полезные транзистор п —р —п-типа, то ток не может
схемы существуют только на транзисто­ втекать в схему, если же используется
рах п-р-п- типа. транзистор р —п~p -типа, то ток не может
Еще один способ получения выходного вытекать. В результате повторитель с н е­
тока, кратного управляющему, состоит во симметричным выходом, в котором и с­
включении дополнительного резистора в пользуются расщепленные источники п и ­
цепь эмиттера выходного транзистора тания, а ток покоя имеет большую вели­
(рис. 2.52). Если схема работает с тока­ чину, при двуполярном сигнале может
ми различной плотности, то, согласно работать только на заземленную нагрузку
уравнению Эберса-Молла, разность напря­ (такие схемы называют иногда усилите­
жений UB3 зависит только от отношения л я м и к л а с с а А). Т о к п о к о я д о лж ен
100 Глава 2

+15 В + 15 В

Рис. 2.54. Усилитель громкоговорителя на 10 Вт, Рис. 2.55. Двухтактная схема эмитгерного повто­
построенный на основе эмитгерного повторителя с рителя.
однополюсным выходом, рассеивает мощность 165 Вт!

быть по крайней мере таким же большим, на сопротивлении 8 Ом). Однако в от­


как максимальный выходной ток при пи­ сутствие сигнала выходной транзистор
ковых значениях сигнала, в результате рассеивает мощность 55 Вт, а эмиттерный
схема в состоянии покоя рассеивает боль­ резистор — еще 110 Вт. Для усилителей
шую мощность. Например, на рис. 2.54 такого типа, принадлежащих к классу А
показана схема повторителя, который ра­ (транзистор всегда в открытом состоя­
ботает на нагрузку с сопротивлением нии), характерно, что мощность, рассеи­
8 Ом и мощностью до 10 Вт. Повтори­ ваемая в состоянии покоя, во много раз
тель Тх на транзисторе р - п —р-типа, слу­ превыш ает максимальную выходную
жит для того, чтобы снизить требования мощность; схема оставляет желать луч­
к мощности входного сигнала схемы и шего, особенно если речь идет о систе­
скомпенсировать напряжение смещения мах, связанных с большим выделением
11вэ в транзисторе Т2 (напряжение 0 В на мощности.
входе дает 0 В на выходе). Конечно, для На рис. 2.55 показана двухтактная схе­
простоты 7j можно было бы опустить. ма повторителя, которая работает анало­
Большой источник тока, используемый в гичным образом. Транзистор Тх открыт
качестве нагрузки в цепи эмиттера Тх слу­ при положительных значениях сигнала,
жит для того, чтобы обеспечить достаточ­ а транзистор Т2 при отрицательных. При
ный базовый ток для Т2 при пиковом зна­ нулевом входном напряжении коллектор­
чении сигнала. Резистор в цепи эмиттера ного тока нет и мощность не расеивает-
не используют потому, что он должен был ся. При выходной мощности 10 Вт каж­
бы иметь слишком малое сопротивление дый тран зи стор рассеивает м ощ ность
(50 Ом или меньше), для того чтобы при менее 10 Вт.
пиковом значении сигнала можно было Переходные искажения в двухтактных
гарантировать базовый ток Т2, равный по каскадах. Предыдущей схеме присуще
крайней мере 50 мА; при этом ток н а­ следующее свойство: выходной сигнал
грузки был бы максимальным, а падение отслеживает входной сигнал с разницей
напряжения на резисторе минимальным; на величину падения напряжения и ъэ; на
результирующий ток покоя Тхоказался бы положительном интервале входного сиг­
чрезмерно большим. нала выходное напряжение примерно на
Выходной сигнал схемы может изме­ 0,6 В меньше, чем входное, на отрица­
няться в диапазоне ±15 В (пиковые зна­ тельном интервале наоборот. Для сину­
чения) и отдавать в нагрузку требуемую соидального входного сигнала выходной
мощность (эффективное напряжение 9 В сигнал будет таким , как п оказано на
Транзисторы 101

используются источники питания ±20 В,


X а нагрузка имеет сопротивление 8 Ом
от Переходное
£
о и мощность 10 Вт для синусоидального
о.с искажение
го сигнала, пиковое базовое напряжение со­
X
У/ Входной V
г / /
f
,
Время
ставляет около 13,5 В, а пиковый ток на­
грузки 1,6 А. Допустим, что коэф ф ици­
сигнал X v Л
ент р тран зи стора равен 50 (м ощ ны е
Рис. 2.56. Переходные искажения в двухтактном
транзисторы обычно имеют меньший ко­
повторителе. эффициент усиления по току, чем мало­
сигнальные транзисторы), тогда для по­
рис.2.56. На языке радиотехники такое лучения базового тока, равного 32 мА,
искажение сигнала называется переход­ потребуются базовые резисторы с сопро­
ным искажением. Лучше всего немного тивлением 220 Ом (при пиковом значе­
сместить двухтактный каскад в состояние нии сигнала ток базы будет определяться
проводимости, как показано на рис. 2.57 напряжением 6,5 В, равным разности 13,5 В
(еше один метод устранения переходного и напряжения источника питания UKK).
искажения связан с использованием об­ Температурная стабильность двухтакт­
ратной связи, хотя он имеет некоторые ных усилителей класса В. Рассмотренный
недостатки). выше усилитель (иногда такие схемы на­
Резисторы смещения R переводят дио­ зывают усилителями класса В, при этом
ды в состояние проводимости, благодаря имеют в виду, что каждый транзистор
этому напряжение на базе Тх превышает находится в открытом состоянии только
входное напряжение на величину падения в течение половины периода входного
напряжения на диоде, а напряжение на сигнала) имеет один серьезный недоста­
базе Т2 на величину падения напряжения ток: он не обладает температурной ста­
на диоде меньше, чем входное напряже­ бильностью. По мере того как выходные
ние. Теперь, когда входной сигнал про­ транзисторы нагреваются (когда приложен
ходит через нуль, проводящим транзис­ входной сигнал, они нагреваются, так как
тором вместо Т2 становится 7j; один из рассеивают мощность), напряжение 1/ъэ
выходных транзисторов всегда открыт. начинает убывать, а коллекторный ток
Резистор R выбран так, чтобы обеспечи­ покоя — возрастать. Выделяющееся при
вался необходимый базовый ток в выход­ этом дополнительное тепло усугубляет по­
ных транзисторах при пиковых значени­ ложение и повышает вероятность того, что
ях выходного сигнала. Например, если в схеме разовьется неконтролируемая теп­
ловая положительная обратная связь (эта
вероятность зависит от ряда факторов: на­
сколько велик радиатор для отвода тепла,
совпадает ли температура диодов с тем­
пературой транзисторов и др.). Даже если
этого не произойдет и схема не выйдет
из строя, необходимо обеспечить более
надежное управление ее работой; обыч­
но прибегают к схеме, показанной на
рис. 2.58.
Для примера здесь показан случай, ког­
да входной сигнал снимается с коллекто­
ра предшествующего каскада; резистор
выполняет двойную функцию: он являет­
ся коллекторным резистором транзисто­
ра Тх и формирует ток для смещения д и ­
Рис. 2.57. Устранение переходных искажений за счет одов и смещающего резистора в основ­
смешения двухтактного повторителя. ной двухтактной схеме. Резисторы R: и Л4
102 Глава 2

нить диодом, то напряжение между база­


ми транзисторов Т2 и Тг будет равно
утроенному падению напряжения на дио­
де, а на последовательное соединение ре­
зисторов /?3 и R4 будет приходиться паде­
ние напряжения, равное падению напря­
жения на диоде. (Следовательно, резисто­
ры Л3 и Л4 должны быть подобраны таким
образом, чтобы обеспечивался нужный
ток покоя, например 50 мА для усилите­
ля звуковых частот.) Самым худшим для
этой схемы является случай, когда сме­
щающие диоды не имеют теплового кон­
такта с выходными транзисторами.
Рассмотрим такой самый худший слу­
чай и вычислим увеличение тока покоя
выходного каскада, соответствующее по­
вышению температуры выходного тран­
зистора на 30 °С. Кстати, для усилителя
Рис. 2.58. Увеличение температурной стабильнос­
мощности такое увеличение температуры
ти двухтактного повторителя за счет включения в не является большим. Указанное повы­
схему небольших эмитгерных резисторов. шение температуры при постоянном зна­
чении тока приводит к уменьшению на­
обычно имеют сопротивление несколько пряжения 1/ю выходных транзисторов при­
ом или ниже; они «амортизируют» кри­ близительно на 63 мВ и к увеличению
тическое смещение тока покоя: напряже­ падения напряжения на резисторах R} и
ние между базами выходных транзисторов R4 приблизительно на 20% (т. е. прибли­
должно быть немного больше, чем удво­ зительно на 20% увеличивается ток по­
енное падение напряжения на диоде; до­ коя). Для усилителя без эмиттерных ре­
полнительное падение напряжения обес­ зисторов (рис. 2.57) аналогичный расчет
печивает регулируемый резистор смещения показывает, что ток покоя увеличится в
fL (его часто заменяют еще одним диодом). 10 раз (напомним, что ток /к увеличивается
Падение напряжения на резисторах к г в 10 раз при возрастании напряжения 6'БЭ
и Я4 составляет несколько десятых долей на 60 мВ), т. е. его рост составит 1000%.
вольта, благодаря этому температурное Очевидно, что температурная стабиль­
изменение напряжения 11ъэ не приводит к ность последней схемы с резисторами
быстрому возрастанию тока (чем больше смещения в цепях эмиттеров значительно
падение н апряж ения н а Л3 и R4, тем выше.
менее чувствителен к температуре ток) и Еще одно преимущество этой схемы
схема работает стабильно. Стабильность состоит в том, что регулировка тока по­
увеличивается, если диоды имеют тепло­ коя позволяет управлять величиной пере­
вой контакт с выходными транзисторами ходных искажений. Двухтактные усили­
(или их радиаторами). тели, в которых смещение используется
Температурную стабильность схемы для получения достаточно большого тока
можно оценить, если вспомнить, что па­ покоя в момент перехода сигнала через
дение напряжения между базой и эмитте­ нуль, называют иногда усилителями клас­
ром уменьшается примерно на 2,1 мВ при са АВ; это название подразумевает, что в
увеличении температуры на каждый гра­ течение некоторого интервала времени оба
дус (°С), а коллекторный ток увеличива­ транзистора находятся в состоянии про­
ется в 10 раз при каждом увеличении на­ водимости. Практически при выборе тока
пряжения между базой и эмиттером на покоя следует найти компромисс между
60 мВ. Например, если резистор R2 заме­ уменьшением искажения и рассейваемой
Транзисторы 103

одинаковый сигнал: такой шунтирующий


конденсатор полезен в любой схеме см е­
щ ения. В данной схеме коллекторный
резистор транзистора 7j заменен источ­
ником тока Ту Эту разновидность схе­
мы с успехом используют на практике —
дело в том, что с помощ ью резистора
бывает иногда трудно получить нужный
базовый ток для транзистора Т2 при зн а­
чениях сигнала, близких к максималь­
ным. Д ля того чтобы удовлетворить тре­
бованиям со стороны транзистора Т2,
резистор должен быть небольшим, но тог­
да большим будет коллекторный ток п о­
коя транзистора Г, (рассеиваемая мощ ­
ность также будет велика), а коэф ф ици­
ент усиления по напряжению также будет
небольшим (напомним, что К = RK/R 3).
Задачу формирования базового тока для
транзистора Т2 позволяет решить также
метод следящей связи, который мы рас­
Рис. 2.59. Схема задания смещения двухтактного смотрим ниже.
выходного каскада для уменьшения переходных ис­
кажений и повышения температурной стабильности. 2.16. Составной транзистор
(схема Дарлингтона)
мощностью в состоянии покоя. Почти
всегда для ослабления переходного иска­ Если соединить транзисторы, как пока­
жения используют еще обратную связь, о зано на рис. 2.60, то полученная схема
которой пойдет речь в следующей главе. будет работать как один транзистор, при­
Другой метод смещения двухтактного чем его коэффициент (3 будет равен про­
повторителя представлен на рис. 2.59. изведению коэффициентов р составляю­
Транзистор Т4 работает как регулируемый щих транзисторов. Этот прием полезен
диод: базовые резисторы образуют дели­ для схем, работающих с большими тока­
тель напряжения, благодаря которому на­ ми (например, для стабилизаторов напря­
пряжение между коллектором и эмитте­ жения или выходных каскадов усилителей
ром Г4 стабилизируется при значении, мощности) или для входных каскадов уси­
пропорциональном напряжению между лителей, если необходимо обеспечить боль­
базой и эмиттером (оно равно падению шой входной импеданс.
напряжения на диоде); при увеличении на­ В транзисторе Д арлингтона падение
пряжения UK3 транзистор переходит в ре­
жим большей проводимости, и наоборот. К
Например, если оба резистора имеют со­
противления 1 кОм, то транзистор удер­
живает напряжение между коллектором и
эмиттером, равное удвоенному падению
напряжения на диоде. В показанном на
рис. 2.59 случае регулировка смещения
позволяет установить напряжение между
базами в диапазоне от 1 до 3,5 падения
напряжения на диоде. Конденсатор ем­
костью 1 мкФ служит для того, чтобы на
базы выходных транзисторов поступил Рис. 2.60. Составной транзистор Дарлингтона.
104 Глава 2

Рис. 2.61. Повышение скорости выключения в состав­ Рис. 2.62. Соединение транзисторов по схеме Шик-
ном транзисторе Дарлингтона. лаи («дополняющий транзистор Дарлингтона»).

напряжения между базой и эмиттером в дартной схемы служит мощный п —р —п-


два раза больше обычного, а напряжение транзистор Дарлингтона типа 2N6282, его
насыщения равно по крайней мере падению коэффициент усиления по току равен 4000
напряжения на диоде (так как потенциал (типичное значение) для коллекторного
эмиттера транзистора 7j должен превы­ тока, равного 10 А.
шать потенциал эмиттера транзистора Т2 Соединение транзисторов по схеме Шик-
на величину падения напряжения на дио­ лаи (Sziklai). Соединение транзисторов по
де). Кроме того, соединенные таким об­ схеме Ш иклаи представляет собой схему,
разом транзисторы ведут себя как один подобную той, которую мы только что
транзистор с достаточно малым быстро­ рассмотрели. Она также обеспечивает уве­
действием, так как транзистор Tt не мо­ личение коэффициента (3. Иногда такое
жет быстро выключить транзистор Т2. соединение называют комплементарным
С учетом этого свойства обычно между ба­ транзистором Д арлингтона (рис. 2.62).
зой и эмиттером транзистора Т2 включа­ Схема ведет себя как транзистор п —р - п ­
ют резистор (рис. 2.61). Резистор R пре­ и т а , обладающий большим коэффициен­
дотвращает смещение транзистора Т2 в том р. В схеме действует одно напряжение
область проводимости за счет токов утеч­ между базой и эмиттером, а напряжение
ки транзисторов Тх и Т2. Сопротивление насыщения, как и в предыдущей схеме,
резистора выбирают так, чтобы токи утеч­ равно по крайней мере падению напряже­
ки (измеряемые в наноамперах для мало­ ния на диоде. Между базой и эмиттером
сигнальных транзисторов и в сотнях мик­ транзистора Т2 рекомендуется включать
роампер для мощных транзисторов) со­ резистор с небольшим сопротивлением.
здавали на нем падение напряжения, не Разработчики применяют эту схему в мощ­
превышающее падения напряжения на ных двухтактных выходных каскадах, когда
диоде, и вместе с тем чтобы через него хотят использовать выходные транзисто­
протекал ток, малый по сравнению с ба­ ры только одной полярности. Пример
зовым током транзистора Т2. Обычно со­ такой схемы показан на рис. 2.63. К ак и
противление R составляет несколько со­ преж де, резистор представляет собой
тен ом в мощном транзисторе Дарлингто­ коллекторный резистор транзистора Г, .
на и несколько тысяч ом в малосигнальном Транзистор Дарлингтона, образованный
транзисторе Дарлингтона. транзисторами Т2и Т3, ведет себя как один
Промышленность выпускает транзисто­ транзистор п —р —п -типа с большим коэф ­
ры Дарлингтона в виде законченных мо­ фициентом усиления по току. Транзис­
дулей, включающих, как правило, и эмит­ торы ТА и Т5, соединенные по схеме Ш ик­
терный резистор. Примером такой стан­ лаи, ведут себя как мощный транзистор
Транзисторы 105

к серии элементов 2N5961—2N5963, ко­


торая характеризуется диапазоном макси­
мальных напряжений UK3 от 30 до 60 В
(если коллекторное напряжение должно
быть больше, то следует пойти на умень­
ш ение зн ачен ия р). П ром ы ш ленность
выпускает согласованные пары транзис­
торов со сверхбольшим значением коэф ­
фициента р. Их используют в усилителях
с низким уровнем сигнала, для которых
транзисторы должны иметь согласован­
ные характеристики; этому вопросу по­
свящ ен разд. 2.18. Примерами подобных
стандартны х схем служат схемы типа
LM394 и МАТ-01; они представляют со­
бой транзисторные пары с большим ко­
эффициентом усиления, в которых напря­
жение UB3 согласовано до долей милли­
вольта (в самых хороших схемах обеспе­
чивается согласование до 50 мкВ), а коэф­
фициент й21Э—до 1%. Схема типа МАТ-03
представляет собой согласованную пару
р —п -р-транзисторов.
Транзисторы со сверхбольшим значени­
Рис. 2.63. Мощный двухтактный каскад, в котором ем коэф ф ициента р можно объединять
использованы выходные транзисторы только п—р —п- по схеме Дарлингтона. При этом базо­
типа. вый ток смещения можно сделать равным
всего лиш ь 50 пкА (примерами таких схем
р - п - р -типа с большим коэффициентом служат операционны е усилители типа
усиления. Как и прежде, резисторы R3 LM111 и LM316.
и R4 имеют небольшое сопротивление.
Эту схему иногда называют двухтактным 2.17. Следящая связь
повторителем с квазидополнительной
симметрией. В настоящем каскаде с до­ При задании напряжения смещения, н а­
полнительной симметрией (комплемен­ пример в эмиттерном повторителе, рези­
тарном) транзисторы Т4 и Т5 были бы со­ сторы делителя в цепи базы выбирают так,
единены по схеме Дарлингтона. чтобы делитель по отношению к базе вы­
Транзистор со сверхбольшим значением ступал в качестве жесткого источника
коэффициеэта усиления по току. Состав­ напряжения, т. е. чтобы сопротивление
ные транзисторы — транзистор Дарлинг­ параллельно включенных резисторов было
тона и ему подобные - не следует путать значительно меньше, чем входное сопро­
с транзисторами со сверхбольшим значе­ тивление схемы со стороны базы. В свя­
нием коэффициента усиления по току, в зи с этим входное сопротивление всей схе­
которых очень большое значение коэффи­ мы определяется делителем напряжения —
циента /г21Эполучают в ходе технологичес­ для сигнала, поступающего на ее вход,
кого процесса изготовления элемента. входное сопротивление оказывается гораз­
Примером такого элемента служит тран­ до меньше, чем это действительно необ­
зистор типа 2N5962, для которого гаран­ ходимо. На рис. 2.64 показан соответству­
тируется минимальный коэффициент уси­ ющий пример. Полное входное сопротив­
ления по току, равный 450, при изменении ление схемы равно приблизительно 9 кОм,
коллекторного тока в диапазоне от 10 мкА а сопротивление делителя напряжения для
до 10 мА; этот транзистор принадлежит входного сигнала равно 10 кОм. Ж ела-
106 Глава 2

противление для частот сигнала не равно со­


противлению по постоянному току. Рас­
смотрим путь прохождения сигнала: входной
сигнал Um порождает сигнал на эмиттере
иэ я ивх, поэтому приращение тока, про­
текающего через резистор смещения R},
составит /' = (и - u3)/R } » 0, т. е. Z BX=
= um/ i m) ~ оо. Мы получили, что входное
(шунтирующее) сопротивление схемы сме­
щения очень велико для частот сигнала.
Другой подход к анализу схемы осн о­
ван на том, что падение напряж ения на
резисторе R} для всех частот сигнала оди­
наково (так как напряжение между его
тельно, чтобы входное сопротивление все­ выводами изменяется одинаково), т. е. он
гда было большим, и уж во всяком слу­ представляет собой источник тока. Но
чае неразумно нагружать источник вход­ сопротивление источника тока бесконеч­
ного сигнала схемы делителем, который но. На самом деле фактическое значение
в конечном счете нужен только для того, сопротивления не бесконечно, так как
чтобы обеспечить смещение транзистора. коэффициент усиления повторителя не­
Выйти из затруднения позволяет метод много меньше 1. Последнее вызывается
следящей связи (рис. 2.65). Смещ ение тем, что падение напряжения между базой
транзистора обеспечиваю т резисторы и эмиттером зависит от коллекторного
Лр R v Ry К онденсатор С2 вы бираю т тока, который изменяется при изменении
таким, чтобы его полное сопротивление уровня сигнала. Тот же результат можно
на частотах сигнала было мало по сравне­ получить, если рассмотреть делитель, об­
нию с сопротивлением резисторов сме­ разованный выходным сопротивлением со
щения. Как всегда смещение будет ста­ стороны эмиттера [гэ = 25/1К (мА) Ом] и
бильным, если сопротивление его источ­ эмиттерным резистором. Если коэф ф и­
ника по постоянному току, приведенное циент усиления повторителя по напряже­
в базе (в данном случае 9,7 кОм), значи­ нию обозначить А (А » 1), то действующее
тельно меньше сопротивления по посто­ значение сопротивления R3 на частотах
янному току со стороны базы (в данном сигнала равно R3/( 1 — А). На практике
случае »100 кОм). Но здесь входное со- действующее значение сопротивления /?3
больше его номинала приблизительно в
100 раз, и во входном сопротивлении пре­
обладает входное сопротивление транзи­
стора со стороны базы. В инвертирую­
щем усилителе с общим эмиттером мо­
жет быть выполнена аналогичная следящая
связь, так как сигнал на эмиттере повто­
ряет сигнал на базе. Обратите внимание,
что схема делителя напряжения смещения
запитывается по переменному току (на
частотах сигнала) с низкоомного эмит­
терного выхода, поэтому входному сиг­
налу не приходится этим заниматься.
Следящая связь в коллекторной нагруз­
ке. Принцип следящей связи можно ис­
Рис. 2.65. Повышение входного импеданса эмит­
терного повторителя на частотах сигнала за счет пользовать для увеличения действующего
включения в цепь следящей связи делителя, обеспе­ (эффективного) сопротивления коллек­
чивающего смещение базы. торного нагрузочного резистора, если
Транзисторы 107

вится больше, чем UKK, благодаря заря­


ду, накопленному конденсатором С. При
этом если Л, = R2 (неплохой вариант вы­
бора резисторов), то потенциал в точке их
соединения превысит UKK в 1,5 раза в тот
момент, когда выходной сигнал станет
равен t/KK. Эта схема завоевала большую
популярность при разработке бытовых уси­
лителей низкой частоты, хотя простой
источник тока обладает преимуществами
перед схемой со следящей связью, так как
отпадает необходимость в использовании
нежелательного элемента — электролити­
ческого конденсатора — и обеспечивают­
ся лучшие характеристики на низких час­
тотах.

2.18. Дифференциальные усилители

Дифференциальный усилитель —это широ­


ко известная схема, используемая для уси­
ления разности напряжений двух входных
сигналов. В идеальном случае выходной
Рис. 2.66. Следящая связь в коллекторной нагрузке сигнал не зависит от уровня каждого из
усилителя мощности, представляющего собой на­ входных сигналов, а определяется только
гружающий каскад. их разностью. Когда уровни сигналов на
обоих входах изменяются одновременно,
каскад нагружен на повторитель. При то такое изменение входного сигнала на­
этом существенно увеличится коэффици­ зывают синфазным. Дифференциальный
ент усиления каскада по напряжению [на­ или разностный входной сигнал называют
помним, что Ки = gmRK, а дт= \/(R 3 + гэ)]- еще нормальным или полезным. Хороший
На рис. 2.66 показан пример двухтактно­ дифференциальный усилитель обладает
го выходного каскада со следящей связью, высоким коэффициентом ослабления синфаз­
построенной подобно рассмотренной выше ного сигнала (КОСС), который представ­
схеме двухтактного повторителя. Так как ляет собой отношение выходного полез­
выход повторяет сигнал на базе транзис­ ного сигнала к выходному синфазному
тора Т2, конденсатор С создает следящую сигналу, при условии что полезный и син­
связь в коллекторную нагрузку транзис­ фазный входные сигналы имеют одина­
тора Т{ и поддерживает постоянное паде­ ковую амплитуду. Обычно КОСС опре­
ние напряж ения на резисторе R2 при деляют в децибелах. Диапазон изменения
наличии сигнала (импеданс конденсато­ синфазного входного сигнала задает допу­
ра С должен быть малым по сравнению с стимые уровни напряжения, относитель­
Rt и R2 в о всей полосе частот сигнала). но которого должен изменяться входной
Благодаря этому резистор R1 становится сигнал.
подобен источнику тока, увеличивается Дифференциальные усилители исполь­
коэффициент усиления транзистора Тх по зуют в тех случаях, когда слабые сигналы
напряжению и поддерживается достаточ­ можно потерять на фоне шумов. Приме­
ное напряжение на базе транзистора Т2 рами таких сигналов являются цифровые
даже при пиковых значениях сигнала. сигналы, передаваемые по длинным кабе­
Когда сигнал становится близким к н а­ лям (кабель обычно состоит из двух скру­
пряжению питания UKK, потенциал в точ­ ченных проводов), звуковые сигналы (в
ке соединения резисторов R{ и R2 стано­ радиотехнике понятие «балансный» импе-
108 Глава 2

Чему равен коэффициент усиления этой


схемы? Его нетрудно подсчитать: допу­
стим, на вход подается дифференциаль­
ный сигнал, при этом напряжение на вхо­
де 1 увеличивается на величину ит (изме­
нение напряжения для малого сигнала по
отношению ко входу).
До тех пор пока оба транзистора нахо­
дятся в активном режиме, потенциал точ­
ки А фиксирован. Коэффициент усиления
можно определить как и в случае усили­
теля на одном транзисторе, если заметить,
что входной сигнал оказывается дважды
Рис. 2.67. Классический транзисторный дифферен­
приложенным к переходу база—эмиттер
циальный усилитель. лю бого тр ан зи сто р а: Ктф = R K/2 ( r 3 +
+ R3). Сопротивление резистора R3 обыч­
дане обычно связывают с дифференци­ но невелико (100 Ом и меньше), а иногда
альным импедансом 600 Ом), радиочас­ этот резистор вообще отсутствует. Д иф ­
тотные сигналы (двухжильный кабель ференциальное напряжение обычно уси­
является дифференциальным), напряж е­ ливается в несколько сотен раз.
ния электрокардиограмм, сигналы счи­ Для того чтобы определить коэф ф ици­
тывания информации из магнитной па­ ент усиления синфазного сигнала, на оба
мяти и многие другие. Дифференциаль­ входа усилителя нужно подать одинако­
ны й у си л и тел ь н а п р и е м н о м ко н ц е вые сигналы ивх. Если вы внимательно
восстанавливает первоначальный сигнал, рассмотрите этот случай (и вспомните,
если синфазные помехи не очень вели­ что через рези стор R t протекаю т оба
ки. Дифференциальные каскады ш иро­ эмиттерных тока), то получите /Гинф =
ко используют при построении операци­ = —RK/(2 R l + R3). Мы пренебрегаем со­
онных усилителей, которые мы рассмат­ противлением гэ, так как резистор Л,
риваем ниже. Они играют важную роль обычно выбирают большим —его сопро­
при разработке усилителей постоянного тивление составляет по крайней мере не­
тока (которые усиливают частоты вплоть сколько тысяч ом. На самом деле сопро­
до постоянного тока, т. е. не использу­ тивлением R3 тоже можно пренебречь.
ют для межкаскадной связи конденсато­ К О С С приблизительно равен R J ( r 3 +
ры): их симметричная схема по сути сво­ + R3). Типичным примером дифференци­
ей приспособлена для компенсации тем­ ального усилителя является схема, пред­
пературного дрейфа. ставленная на рис. 2.68. Рассмотрим, как
На рис. 2.67 показана основная схема она работает.
дифференциального усилителя. Выходное Сопротивление резистора RK выбрано
напряжение измеряется на одном из кол­ так, чтобы коллекторный ток покоя мож­
лекторов относительно потенциала земли; н о было взять равны м 100 мкА. К ак
такой усилитель называют схемой с однопо­ обычно, для получения максимального
люсным выходом или разностным усилите­ динамического диапазона потенциал кол­
лем и он распространен наиболее широ­ л е к т о р а у ста н о в л ен р ав н ы м 0,5 UKK.
ко. Этот усилитель можно рассматривать У транзистора Тх коллекторный резистор
как устройство, которое усиливает диффе­ отсутствует, так как его выходной сигнал
ренциальный сигнал и преобразует его в снимается с коллектора другого транзис­
несимметричный сигнал, с которым мо­ тора. С опротивление резистора Л, вы ­
гут работать обычные схемы (повторители брано таким, что суммарный ток равен
напряжения, источники тока и т. п.). Если 200 мкА и поровну распределен между
же нужен дифференциальный сигнал, то транзисторами, когда входной (дифферен­
его снимают между коллекторами. циальный) сигнал равен нулю. Согласно
Транзисторы 109

Д ифференциальный усилитель можно


образно назвать «длиннохвостой парой»,
так как, если длина резистора на услов­
ном обозначении пропорциональна вели­
чине его сопротивления, схему можно
изобразить в таком виде, как показано на
рис. 2.69. «Длинный хвост» определяет
подавление синфазного сигнала, а неболь­
шие сопротивления межэмиттерной свя­
зи (включающие собственные сопротив­
ления эмиттеров) — усиление дифферен­
циального сигнала.
Смещение с помощью источника тока.
Усиление синфазного сигнала в диффе­
ренциальном усилителе можно значитель­
но уменьшить, если резистор Rt заменить
источником тока. При этом действующее
Рис. 2.68. Вычисление характеристик дифференци­ значение сопротивления Л, станет очень
ального усилителя. большим, а усиление синфазного сигнала
- U2) = ( Л К/ 2 ( Л Э + г,);
будет ослаблено почти до нуля, Предста­
^инф ~ + R3 + /*э)> вим себе, что на входе действует синфаз­
КОСС = R J(R , + г).
ный сигнал; источник тока в эмиттерной
только что выведенным формулам коэф ­ цепи поддерживает полный эмиттерный
фициент усиления дифференциального ток постоянным, и он (в силу симметрии
сигнала равен 30, а коэффициент усиле­ схемы) равномерно распределяется между
ния синфазного сигнала равен 0,5. Если двумя коллекторными цепями. Следова­
исключить из схемы резисторы 1,0 кОм, тельно, сигнал на выходе схемы не изме­
то коэффициент усиления дифференци­ няется. Пример подобной схемы приведен
ального сигнала станет равен 150, но при на рис. 2.70. Для этой схемы, в которой
этом уменьшится входное (дифференци­ использованы монолитная транзисторная
альное) сопротивление с 250 до 50 кОм пара типа LM394 (транзисторы Тх и Т2)
(если необходимо, чтобы величина этого и источник тока типа 2N5963, величина
сопротивления имела порядок мегаом, то КОСС определяется отношением 100 000:1
во входном каскаде можно использовать (100 дБ). Диапазон входного синфазного
транзисторы Дарлингтона). сигнала ограничен зн ачен иям и - 1 2 и
Напомним, что в несимметричном уси­ + 7 В; нижний предел определяется ра­
лителе с заземленным эмиттером при вы­ бочим диапазоном источника тока в эмит-
ходном напряжении покоя 0,5 UKK макси­
мальное усиление равно 20 UKK, где UKK
выражено в вольтах. В дифференциаль­
ном усилителе максимальное дифферен­
циальное усиление (при Яэ = 0) вдвое
меньше, т. е. численно равно двадцати­
кратному падению напряжения на коллек­
торном резисторе при аналогичном вы­
боре рабочей точки. Соответствующий
максимальный КОСС (при условии, что
Яэ = 0) также численно в 20 раз превы­
шает падение напряжения на /?,.
Упражнение 2.13. Убедитесь, что приведенные со­
отношения правильны. Разработайте дифференциаль­
ный усилитель по вашим собственным требованиям.
110 Глава 2

литель постоянного тока даже с несим­


метричными (односторонними) входны­
ми сигналами. Для этого нужно один из
его входов заземлить, а на другой подать
сигнал (рис. 2.71). Можно ли исключить
«неиспользуемый» транзистор из схемы?
Нет. Дифференциальная схема обеспечи­
вает компенсацию температурного дрей­
фа, и, даже когда один вход заземлен,
транзистор выполняет некоторые функ­
ции: при изменении температуры напря­
ж ения 1/ъэ изменяю тся на одинаковую
величину, при этом не происходит ника­
ких изменений на выходе и не нарушает­
ся балансировка схемы. Это значит, что
изменение напряжения Uh3 не усиливается
с коэффициентом Кт (его усиление оп­
ределяется коэффициентом А^инф, который
Рис. 2.70. Увеличение КОСС дифференциального можно уменьшить почти до нуля). Кроме
усилителя с помощью источника тока.
того, взаимная компенсация напряжений
1/ьэ приводит к тому, что на входе не
терной цепи, а верхний - коллекторным нужно учитывать падения напряжения ве­
напряжением покоя. личиной 0,6 В. Качество такого усилите­
Не забывайте о том, что в этом усилите­ ля постоянного тока ухудшается только
ле, как и во всех транзисторных усилите­ из-за несогласованности напряжений Ub3
лях, должны быть предусмотрены цепи или их температурных коэффициентов.
смещения по постоянному току. Если, Промышленность выпускает транзистор­
например, для межкаскадной связи на вхо­ ные пары и интегральные дифференци­
де используется конденсатор, то должны альные усилители с очень высокой степе­
быть включены заземленные базовые ре­ нью согласования (например, для стан­
зисторы. Еще одно предостережение от­ дартной согласованной монолитной пары
носится в особенности к дифференциаль­ я-р -л -тран зи сторов типа МАТ-01 дрейф
ным усилителям без эмитгерных резисто­ напряжения иъэ определяется величиной
ров: би п о л яр н ы е тр ан зи сто р ы могут 0,15 мкВ/°С или 0,2 мкВ за месяц).
выдержать обратное смещение на перехо­
де база—эмиттер величиной не более 6 В,
затем наступает пробой; значит, если по­
дать на вход дифференциальное входное
напряжение большей величины, то вход­
ной каскад будет разрушен (при условии,
что отсутствуют эмиттерные резисторы).
Эмиттерный резистор ограничивает ток
пробоя и предотвращает разрушение схе­
мы, но характеристики транзисторов мо­
гут в этом случае деградировать (коэффи­
циент Л21Э, шумы и др.). В любом случае
входной импеданс существенно падает,
если возникает обратная проводимость.
Применения дифференциальных схем в
усилителях постоянного тока с однополюс­ Рис. 2.71. Дифференциальный усилитель может ра­
ным выходом. Дифференциальный усили­ ботать как прецизионный усилитель постоянного тока
тель может прекрасно работать как уси­ с однополюсным выходом.
Транзисторы 111

В предыдущей схеме можно заземлить при условии, что нагрузка на выходе уси­
любой из входов. В зависимости от того, лителя отсутствует. Такой усилитель ис­
какой вход заземлен, усилитель будет или пользуют, как правило, только в схемах,
не будет инвертировать сигнал. (Однако, охваченных петлей обратной связи, или в
из-за наличия эффекта Миллера, речь о компараторах (их мы рассмотрим в следу­
котором пойдет в разд. 2.19, приведен­ ющем разделе). Запомните, что нагрузка
ная здесь схема предпочтительна для диа­ для такого усилителя обязательно должна
пазона высоких частот). Представленная иметь большой импеданс, иначе усиление
схема является неинвертирующей, значит, будет существенно ослаблено.
в ней заземлен инвертирующий вход. Тер­ Дифференциальные усилители как схе­
минология, относящаяся к дифференци­ мы расщепления фазы. На коллекторах
альным усилителям, распространяется симметричного дифференциального уси­
также на операционные усилители, кото­ лителя возникают сигналы, одинаковые
рые представляют собой те же дифферен­ по амплитуде, но с противоположными
циальные усилители с высоким коэффи­ фазами. Если снимать выходные сигна­
циентом усиления. лы с двух коллекторов, то получим схему
Использование токового зеркала в каче­ расщепления фазы. Конечно, можно ис­
стве активной нагрузки. Иногда желатель­ пользовать дифференциальный усилитель
но, чтобы однокаскадный дифференциаль­ с дифференциальными входами и выхо­
ный усилитель, как и простой усилитель с дами. Дифференциальный выходной сиг­
заземленным эмиттером, имел большой нал можно затем использовать для управ­
коэффициент усиления. Красивое реше­ ления еще одним дифференциальным уси­
ние дает использование токового зеркала лительным каскадом, величина КОСС для
в качестве активной нагрузки усилителя всей схемы при этом значительно увели­
(рис. 2.72). Транзисторы 7j и Г2 образуют чивается.
дифференциальную пару с источником Дифференциальные усилители как ком­
тока в эмиттерной цепи. Транзисторы Т3 параторы. Благодаря высокому коэф ф и­
и Т4, образующие токовое зеркало, высту­ циенту усиления и стабильным характе­
пают в качестве коллекторной нагрузки. ристикам дифференциальный усилитель
Тем самым обеспечивается высокое зна­ является основной составной частью ком­
чение сопротивления коллекторной нагруз­ паратора — схемы, которая сравнивает
ки, благодаря этому коэффициент усиле­ входные сигналы и оценивает, какой из
ния по напряжению достигает 5000 и выше них больше. Компараторы используют в
самых различных областях: для включения
освещения и отопления, для получения
прямоугольных сигналов из треугольных,
для сравнения уровня сигнала с порого­
вым значением, в усилителях класса D и
при импульсно-кодовой модуляции, для
переключения источников питания и т.д.
Основная идея при построении компара­
тора заключается в том, что транзистор
должен включаться или выключаться в
зависимости от уровней входных сигна­
лов. Область линейного усиления не рас­
сматривается — работа схемы основыва­
ется на том, что один из двух входных
транзисторов в любой момент находится
в режиме отсечки. Типичное применение
с захватом сигнала рассм атривается в
Рис. 2.72. Дифференциальный усилитель с токовым следующем разделе на примере схемы
зеркалом в качестве активной нагрузки. регулирования температуры, в которой
112 Глава 2

используются резисторы, сопротивление


которых зависит от температуры (термис­
торы).

2.19. Емкость и эффект Миллера

До сих пор мы пользовались моделью тран­


зистора для сигналов постоянного тока
или низкой частоты. В простейшей мо­
дели транзистора в виде усилителя тока и
в более сложной модели Эберса—Молла
напряжения, токи и сопротивления рас­
сматривают со стороны различных выво­
дов транзистора. Пользуясь этими моде­
лями, мы уже охватили достаточно ш и­ Рис. 2.73. Емкости перехода и нагрузки в транзис­
рокий круг вопросов, и на самом деле они торном усилителе.
содержат в себе почти все, что необходи­
мо учитывать при разработке транзистор­ следует уменьшать импеданс источника и
ных схем. Однако до сих пор мы не при­ емкость нагрузки и увеличивать управля­
нимали во внимание важный момент — ющий ток. Однако некоторые особенно­
внешние цепи и сами переходы транзис­ сти связаны с емкостью обратной связи и
тора обладают некоторой емкостью, ко­ со входной емкостью. Коротко остано­
торую необходимо учитывать при разра­ вимся на этих вопросах.
ботке быстродействующих и высокочас­ Схема на рис. 2.73 иллюстрирует, как
тотных схем. На самом деле, на высоких проявляются емкости переходов транзис­
частотах емкость зачастую определяет ра­ тора. Выходная емкость образует ЛС-цепь
боту схемы: на частоте 100 МГц емкость с выходным сопротивлением Ru (сопро­
перехода, равная 5 пкФ, имеет импеданс тивление Лн включает в себя как сопро­
320 Ом. тивление коллектора, так и сопротивле­
Более подробно мы рассмотрим этот ние нагрузки, а ем кость Сн - емкость
вопрос в гл. 13. Сейчас мы хотим про­ перехода и емкость нагрузки), в связи
сто поставить вопрос, проиллюстрировать с этим спад сигнала начинается при час­
его на примере некоторых схем и предло­ тоте / = 1/2л/?нСн. То же самое можно ска­
жить методы его реш ения. Конечно, в зать о входной емкости и сопротивлении
этой главе мы не можем не коснуться при­ источника R,r
чины самого явления. Рассматривая тран­ Эффект Миллера. Емкость Скб играет
зистор в новом аспекте, мы познакомим­ иную роль. Усилитель обладает некото­
ся с эффектом Миллера и каскодными рым коэффициентом усиления по напря­
схемами. ж ению Кц, следовательно, небольш ой
Емкость схемы и перехода. Емкость ог­ сигнал напряжения на входе порождает
раничивает скорость изменения напряже­ на коллекторе сигнал, в Ки раз превышаю­
ний в схеме, так как любая схема имеет щий входной (и инвертированный по от­
собственные конечные выходные импе­ ношению к входному). Из этого следует,
данс и ток. Когда емкость перезаряжает­ что для источника сигнала емкость Скб
ся от источника с конечным сопротивле­ в (Ки + 1) раз больше, чем при подклю­
нием, ее заряд происходит по экспонен­ чении Ск6 между базой и землей, т. е. при
циальному закону с постоянной времени расчете частоты среза входного сигнала
RC; если же емкость заряжает идеальный можно считать, что емкость обратной свя­
источник тока, то снимаемый с нее сиг­ зи ведет себя как конденсатор емкостью
нал будет изменяться по линейному за­ C J K V +1), подключенный между входом
кону. Общая рекомендация заключается и землей. Эффективное увеличение ем­
в следующем: для ускорения работы схемы кости С 6 и называют эффектом Миллера.
Транзисторы 113

+15 В

Рис. 2.74. Две схемы, в которых устранен эффект Миллера. Схема 6 представляет собой пример каскодно-
го включения транзисторов.

Эффект Миллера часто играет основную 2.74 представлена лиш ь часть каскадной
роль в спаде усиления, так как типичное схемы; в нее можно включить зашунтиро-
значение емкости обратной связи около ванный эмиттерный резистор и делитель
4 пкФ соответствует (эквивалентно) емко­ напряжения для подачи смещения на базу
сти в несколько сотен пикофарад, при­ (подобные примеры были рассмотрены
соединенной на землю. в начале настоящей главы) или охватить
Существует несколько методов борьбы всю схему петлей обратной связи по по­
с эффектом Миллера, например, он будет стоянному току. Напряжение U+ можно
полностью устранен, если использовать формировать с помощью делителя или зе-
усилительный каскад с общ ей базой. неровского диода; для того чтобы напря­
Импеданс источника можно уменьшить, жение было жестко фиксировано на час­
если подавать сигнал на каскад с зазем­ тотах сигнала, можно шунтировать резис­
ленным эмиттером через эмиттерный по­ тор в базе Т2.
вторитель. На рис. 2.74 показаны еще две Упражнение 2.14. Объясните, почему эффект Мил­
возможности. В дифференциальном уси­ лера не наблюдается в транзисторах рассмотренной
лителе (без резистора в коллекторной це­ только что схемы дифференциального усилителя и в
пи Tt) эффект Миллера не наблюдается; каскодных схемах.
эту схему можно рассматривать как эмит­ Паразитные емкости могут создавать и
терный повторитель, подклю ченный к более сложные проблемы, чем те, кото­
каскаду с заземленной базой. На второй рых мы сейчас коснулись. В частности:
схеме показано каскодное вклю чение а) спад усиления, обусловленный наличи­
транзисторов. 7j — это усилитель с зазем­ ем емкости обратной связи и выходной ем­
ленным эмиттером, резистор RHявляется кости, сопровождается побочными эффек­
общим коллекторным резистором. Тран­ тами, которые мы рассмотрим в следую­
зистор Т2 включен в коллекторную цепь щей главе; б) входная ем кость также
для того, чтобы предотвратить изменение оказывает влияние на работу схемы даже
сигнала в коллекторе Т, (и тем самым ус­ при наличии мощного источника входных
транить эффект Миллера) при протека­ сигналов; в частности, ток, который про­
нии коллекторного тока через резистор текает через С6э, не усиливается транзисто­
нагрузки. Напряжение U+ — это фиксиро­ ром, т. е. входная емкость «присваивает»
ванное напряжение смещения, обычно оно себе часть входного тока, вследствие чего
на несколько вольт превышает напряже­ коэффициент усиления малого сигнала
ние на эмиттере Т{ и поддерживает кол­ Л21э на высоких частотах снижается и на
лектор Тх в активной области. На рис. частоте f T становится равным единице;
114 Глава 2

в) дело осложняется также тем, что ем­ как надежные устройства, способные вы­
кости переходов зависят от напряжения, полнять разнообразные функции. Их пре­
емкость С6э изменяется столь сильно при дельно допустимые напряжения и токи
изменении базового тока, что ее даже не сравнимы с соответствующими напряже­
указывают в паспортных данных на тран­ ниями и токами биполярных транзисто­
зистор, вместо этого указывается значе­ ров.
ние частоты г) если транзистор рабо­ В больш инстве устройств на основе
тает как переключатель, то заряд, нако­ транзисторов (согласованные пары, диффе­
пленный в области базы в режиме насы­ ренциальные и операционные усилители,
щения, также вызывает уменьшение бы­ компараторы, токовые ключи и усили­
стродействия. Эти, а также некоторые тели, радиочастотные усилители, цифро­
другие вопросы, связанные с работой бы­ вые схемы) используют полевые транзи­
стродействующих схем, мы рассмотрим сторы и зачастую они обладают лучшими
в гл. 13. характеристиками. Более того, микропро­
цессоры и запоминающие устройства (а
2.20. Полевые транзисторы также другие крупные устройства цифро­
вой электроники) строятся исключитель­
В этой главе мы до сих пор имели дело с но на основе полевы х тран зи сторов.
биполярными плоскостными транзисто­ И наконец, в области разработки микро-
рами, характеристики которых описыва­ мощных устройств также преобладают по­
ются уравнениями Э берса-М олла. Б и ­ левые транзисторы.
полярные плоскостные транзисторы были Полевые транзисторы играют столь важ­
первыми транзисторами и до сих пор они ную роль в разработке электронных схем,
преобладают в разработке аналоговых что мы посвящаем им следующую главу
схем. Однако было бы ошибкой не ска­ нашей книги. Затем, в гл. 4 мы займем­
зать сейчас несколько слов о транзисторе ся операционными усилителями и обрат­
особого типа — о полевом транзисторе. ной связью. В этих трех трудных началь­
Детально мы рассмотрим его в следую­ ных главах излагаются основополагающие
щей главе. сведения и мы призываем читателя про­
Полевой транзистор во многом похож явить терпение, которое вознаградится
на обычный биполярный транзистор. Он многократно, когда в последующих гла­
представляет собой усилительное устрой­ вах мы приступим к изучению таких ин­
ство, имеющее 3 вывода, и может иметь тереснейших тем, как разработка на ос­
любую полярность. Один из выводов (зат­ нове операционных усилителей и цифро­
вор) предназначен для управления током, вых интегральных схем.
который протекает между двумя другими
выводами (истоком и стоком). Этот тран­ НЕКОТОРЫЕ ТИПИЧНЫЕ
зистор обладает, однако, одним особым ТРАНЗИСТОРНЫЕ СХЕМЫ
свойством: через затвор ток не протекает,
за исключением токов утечки. Это зна­ Рассмотрим несколько примеров транзи­
чит, что входные импедансы могут быть сторных схем, которые иллюстрируют ос­
очень большими, их предельные значения новные идеи, изложенные в настоящей
связаны лишь с наличием емкостей или главе. Круг этих примеров ограничен, так
утечек. При использовании полевых тран­ как в реальных схемах часто используют
зисторов нет необходимости заботиться о отрицательную обратную связь, которую
величине тока, протекающего через базу, мы будем изучать в следующей главе.
что было совершенно обязательно при раз­
работке схем на биполярных транзисторах, 2.21. Стабилизированный источник
о которых мы вели речь в этой главе. На напряжения
практике входные токи имеют порядок
пикоампер. К настоящему времени поле­ На рис. 2.75 показана очень распростра­
вые транзисторы зарекомендовали себя ненная схема. Ток резистора Rt открыва-
Транзисторы 115

2.22. Терморегулятор
От +12 до +25 В 2 N 3 05 5 +10 В
На рис. 2.76 показана схема регулятора
температуры, основанная на использова­
нии термистора — чувствительного эле­
мента, сопротивление которого зависит от
температуры. Д ифференциальная схема
на составных транзисторах Тх — Т2сравни­
вает напряжение, формируемое регулиру­
емым делителем эталонного напряжения
на резисторах R4 - Rb, с напряжением,
которое снимается с делителя, образован­
ного термистором и резистором Rr (Если
Рис. 2.75. Стабилизированный источник напряжения п роизводить сравн ен и е относительно
с обратной связью. одного и того же источника, то результат
не будет зависеть от колебаний напряже­
ет транзистор Tv Когда напряжение на ния источника; приведенная схема назы­
выходе достигает значения 10 В, транзи­ вается мостиком Уитстона.) Токовое зер­
стор Т2 переходит в открытое состояние кало на транзисторах Тъ, Т6 является
(потенциал базы достигает 5 В) и дальней­ активной нагрузкой и служит для увели­
шее увеличение выходного напряжения чения коэффициента усиления, а токовое
предотвращается за счет отвода избытка зеркало на транзисторах Т7, Тн обеспечи­
тока с базы транзистора Тг И сточник вает эмиттерный ток. Транзистор 71 срав­
питания можно сделать регулируемым, нивает выходное напряжение дифферен­
если резисторы и /?3 заменить потен­ циального усилителя с фиксированным
циометром. По сути дела, это пример схе­ напряжением и переводит в насыщение
мы с отрицательной обратной связью: составной транзистор Т10, Ти , который
Т2 «следит» за состоянием выхода и «пред­
+ 5 0 В (нестабилизир.
принимает соответствующие меры», если н апряж ение)
вех ичина выходного напряжения отлича­
ется от нужной.
ОД Ом
10 Вт

+15 В

Рис. 2.76. Терморегулятор для на­


гревателя мощностью 50 Вт.
116 Глава 2

таким образом подает мощность на нагре­ Диод Д г обеспечивает падение напряже­


ватель в случае, если термистор охлажден ния, благодаря которому транзистор Tt
слишком сильно. Выбор сопротивления будет выключен, если замкнуты переклю­
резистора Л, зависит от требующегося чатели П, и П 2, а диод Д 4 предохраняет
тока. В данной схеме этот резистор вклю­ транзистор Тъот индуктивных переходных
чает защитный транзистор Тп , если вели­ процессов, возникающих при отключении
чина выходного тока превышает 6 А; тем звонка. Подробно мы рассмотрим логи­
самым отключается сигнал с базы состав­ ческие схемы в гл. 8.
ного транзистора Т]0, Тх, и предотвраща­ В табл. 2.1 приведены параметры груп­
ется выход схемы из строя. пы малосигнальных транзисторов, ш иро­
ко используемых на практике, соответству­
2.23. Простая логическая схема ющие им графики зависимости коэффи­
на транзисторах и диодах циентов усиления по току от коллекторного
то ка п редставлен ы н а рис. 2.78. См.
На рис. 2.77 представлена схема, которая также приложение К.
решает задачу, рассмотренную в разд.
1.32: включение звуковой сигнализации СХЕМЫ, НЕ ТРЕБУЮЩИЕ ПОЯСНЕНИЙ
(звонка) при условии, что одна дверца
машины открыта и водитель находится за 2.24. Удачные схемы
рулем. В приведенной схеме все транзи­
сторы работают как переключатели (на­ На рис. 2.80 показаны два проекта схем
ходятся в режиме отсечки или насыще­ с использованием транзисторов.
ния). Диоды Д и Д 2 образуют так назы­
ваемую схему ИЛИ, которая выключает 2.25. Негодные схемы
транзистор Tj, если одна из двсрц откры­
та (переключатель замкнут). Однако по­ Как известно, учатся на ошибках, и сво­
тенциал коллектора Г, сохраняет значе­ их, и чужих. В этом разделе вашему вни­
ние, близкое к потенциалу земли, и пре­ манию предложена целая серия грубых
дотвращает включение звукового сигна­ ошибок, допущенных при разработке схем
ла, если не замкнут переключатель П 3 (рис. 2.81). В нимательно рассмотрите
(водитель находится за рулем); при выпол­ представленные схемы, подумайте, какие
нении последнего условия резистор Я, возможны варианты и никогда не делайте
обеспечивает включение транзистора Тъ подобных ошибок!
и на звонок подается напряжение 12 В.
+12 В пост.
Н екоторы е м алосигнальны е транзисторы 31
UK3 /к А,,./1 /к СКЕ"' f cTi" Кривые ко- Металлический корпус Пластмассовый
(макс), (макс), (тип.) мА (тип.), пФ (тип.), эффициента _________________________________________
В мА МГц усиления ТО-5Д) ТО-18с>
прп рпр прп рпр прп
20 500 100 150 16 200 - - - - -

я 25 200 200 2 1,8-2,8 300 4 - - - - 4124


40 200 200 10 1,8-2,8 300 — - 3947 3251 3904
ффициент 25 50 300 10 2 -7 150 - - - - ( 3707
низкий U391A
ма
25 300 250 50 4 300 - - - — 6008*
25 50 500 5 1,5-4 500 - - - - 5089
40 20 700 1 14 200 2 LM394 - - _ -
45 50 1000 10 1,5 300 1 - - - - 5962
50 50 350 5 1,8 400 3 - - 2848 3965 (4967
V5210
30-60 600 150 150 5 300 5 2219 2905 2222 ( 2907^ 4401
50 1000 100 200 7 450 3725, 5022 4014 43251/ -
ZL9
Оо

60 1000 70 80 15 100 4036 —■ — —

75 2000 70 500 20 60 7,9 5320 5322 - - -

ряжение 150 600 100 10 3 -6 250 - 4929 - _ 5550


300 1000 50 50 10 50 3439 5416 — - —
тро­ 12 50 80 3 0,7 1500 6 - - 5179 - 3662
12 100 50 8 1,5 900 8 - - 918 4208 5770
12 200 75 25 3 500 — - 2369 2894 5769
исторы имеют типовой номер 2NXXX, за исключением сдвоенного транзистора LM394. Элементы, приведенные в одной строке, обладают
ами и иногда электрически идентичны. 61 См. рис. 2.76. При УКБ = 10 В. г| См. рис. 13.4. л) Или ТО-39. с| Или ТО-72, ТО-46. ж) Ко
ации различаются маркировкой выводов: ЭБК и ЭКБ. Транзисторы, помеченные буквой «ж», относятся к группе ЭКБ, а все остальные - к г
118 Глава 2

Колекторный ток

Рис. 2.78. Графики зависимости коэффициента усиления по току й2|Э от коллекторного тока / к для группы
транзисторов, параметры которых приведены в табл. 2.1 (по данным фирм-изготовителей). Возможен
технологический разброс от изображенных типовых значений в пределах +100%, —50%.

Рис. 2.79.

Удачные схемы
+15

«„"«Л
r3-k T /q I,
Н апряжение
т .e .K u* I J T пропорц.
Ток
следовательно пропорц, полной
+1,22 В, - / 3 ОС Г уровню световой
нулевой освещенности экспозиции
темп, коэф

Рис. 2.80. а — дифференциальный усилитель со смещением, обеспечивающим нулевой температурный


коэффициент усиления; 6 — световой интегратор.
К ос Л к/ г э , гэ = k T /q T ^ т. е . К о с I J T ., / э * Т.
Негодные схемы

+U„„ + 15 В

Нестабилизир
вход пост,
тока . +5 В вых.
(> Ю В)

5,6 В зенеровский
диод

+5 В
TL
ОВ'

Выход

Рис. 2.81. а — повторители со связью по переменному току; б — стабилизатор напряжения +5 В; в — двухтактны!


повторитель; г — источник тока; д — переключатель для больших токов; е —двухкаскадный усилитель; ж - диф­
ференциальный усилитель; з —повторитель с нулевым смешением; и —усилитель переменного тока с большие
коэффициентом усиления.
120 Глава 2

ДОПОЛНИТЕЛЬНЫЕ УПРАЖНЕНИЯ
(1) Разработайте схему транзисторного переключате­
ля, которая позволила бы подключать к земле две на­
грузки через насыщенные транзисторы п—р—п-типа.
При замыкании переключателя А обе нагрузки должны
находиться под напряжением, при замыкании переклю­
чателя Б мощность должна передаваться только в одну
нагрузку. Подсказка: используйте в схеме диоды.
(2) Рассмотрите источник тока, изображенный на
рис. 2.79. (а) Определите ток / . Чему равен рабо­
чий диапазон схемы? Считайте, что напряжение иъэ
составляет 0,6 В. (б) Как будет изменяться выход­
ной ток, если при изменении коллекторного напря­
жения в пределах выходного диапазона коэффициент
/г2|Э изменяется от 50 до 100? (При решении этой за­
дачи следует учесть два эффекта.) (в) Как будет из­
меняться ток нагрузки ь пределах рабочего диапазо­
на, если известно, что изменение напряжения 1/ьэ опи­
сывается зависимостью AUw, = -0,001 л£/кэ (эффект
Эрли)? (г) Чему равен температурный коэффициент
выходного тока, если предположить, что коэффици­ Рис. 2.83. Схема подавления входного тока, широ­
ент й2|э не зависит от температуры? Чему равен тем­ ко используемая в высококачественных ОУ.
пературный коэффициент выходного тока, если пред­
положить, что коэффициент й,,э увеличивается отно­ помощью полевых транзисторов), (а) Сначала разра­
сительно номинального значения 100 на 0,4% /°С? ботайте схему дифференциального усилителя с источ­
(3) Разработайте схему усилителя с общим эмиттером ником тока в эмитгерной цепи и без эмитгерных рези­
на основе транзистора п—р —п-типа по следующим ис­ сторов. Используйте источник питания с напряжени­
ходным данным: коэффициент усиления по напряже­ ем ± 15 В. Коллекторный ток / к (для каждого
нию равен 15, напряжение питания U равно 15 В, транзистора) должен быть равен 1 мА, а сопротивле­
коллекторный ток / равен 0,5 мА. Транзистор дол­ ние коллекторного резистора сделайте равным
жен быть смещен так, чтобы потенциал коллектора ftK = 1,0 кОм. Подсчитайте коэффициент усиления
был равен 0,5 6'кк, а точке - 3 дБ должна соответство­ по напряжению, при условии что один из входов за­
вать частота 100 Гц. землен, (б) Теперь модифицируйте схему так, чтобы
(4) Предусмотрите в предыдущей схеме следящую связь источником тока в эмитгерной цепи можно было уп­
для увеличения входного импеданса. Правильно оп­ равлять с помощью внешнего напряжения. Составьте
ределите точку спада усиления при следящей связи. приблизительное выражение зависимости коэффици­
(5) Разработайте схему дифференциального усилителя ента усиления от управляющего напряжения. (В ре­
со связями по постоянному току по следующим исход­ альной схеме можно предусмотреть еще одну группу
ным данным: коэффициент усиления по напряжению управляемых источников для того, чтобы скомпенси­
равен 50 (для однополюсного выхода) при входных сиг­ ровать смещение точки покоя, обусловленное измене­
налах с напряжением, близким к потенциалу земли; ниями коэффициента усиления, или же можно вклю­
источники питания обеспечивают напряжение ±15 В; чить в схему еще один каскад с дифференциальным
ток покоя в каждом транзисторе равен 0,1 мА. В эмит- входом.)
терной цепи используйте источник тока, а в качестве (7) Не желая прислушиваться к нашим советам, вы­
выходного каскада - эмиттерный повторитель. сокомерный студент создает усилитель, схема кото­
(6) Выполнив это упражнение, вы получите усили­ рого приведена на рис. 2.82. Он регулирует сопро­
тель, коэффициент усиления которого управляется вне­ тивление ft, так, чтобы точке покоя соответствовало
шним напряжением (в гл. 3 эта задача решается с напряжение 0,5 i/KK. (а) Определите ZBX(на высоких
частотах, когда выполняется условие Z K = 0). (б)
Определите коэффициент усиления по напряжению
для малого сигнала, (в) Определите грубо, при ка­
ком изменении температуры окружающей среды
транзистор перейдет в режим насыщения.
(8) В некоторых прецизионных операционных усили­
телях (например, ОР-07 и LT1012) для подавления
входного тока смещения используется схема, показан­
ная на рис. 2.83 (подробно показана только половина
дифференциального усилителя с симметричным вхо­
дом, другая половина выглядит точно так же). Объяс­
ните, как работает схема. Замечание: транзисторы 7’
и Г; представляют собой согласованную по р пару.
Подсказка: вспомните о токовых зеркалах.
ГЛАВА 3
ПОЛЕВЫЕ ТРАНЗИСТОРЫ

ВВЕДЕНИЕ В полевом транзисторе, как следует из


его н азв ан и я, проводим остью канала
Полевые транзисторы (ПТ) - это транзис­ управляет электрическое поле, создавае­
торы, свойства которых совершенно от­ мое приложенным к затвору напряж е­
личаются от свойств рассмотренных в нием. Здесь нет прямосмещ енных р —п-
предыдущей главе обычных транзисто­ переходов, так что ток через затвор не
ров, называемых также биполярны м и, течет и это, возможно, — наиболее важ­
чтобы подчеркнуть их отличие от ПТ. ное преимущество ПТ перед биполярны­
В расширенном толковании, однако, они ми транзисторами. Как и последние, ПТ
имеют много общего, так что их можно бывают двух полярностей: n-канальные (с
определить как приборы, управляемые за­ проводимостью за счет электронов) и
рядом. В обоих случаях мы имеем прибор /7-канальные (с дырочной проводимос­
с тремя выводами, в котором проводи­ тью). Эти полярности аналогичны уже
мость между двумя электродами зависит известным нам соответственно п ~ р —п и
от наличия носителей заряда, которое в р —п —р-транзисторам биполярного типа.
свою очередь регулируется напряжением, Однако разнообразие ПТ этим не огра­
приложенным к третьему управляющему ничивается, что может приводить к пута­
электроду. нице. Во-первых, ПТ могут изготавли­
Теперь о том, чем они отличаются друг ваться с затворами двух различных типов (в
от друга. В биполярном п —р —п-транзис­ результате мы имеем ПТ с р - я-переходом
торе переход коллектор—база смещен в и ПТ с изолированным затвором, так на­
обратном направлении и обычно ток че­ зываемые МОП-транзисторы), а во-вто­
рез него не течет. Подача на переход б аза- рых, —двумя типами легирования канала
эмиттер напряжения около 0,6 В преодо­ (что дает ПТ обогащенного и обедненно­
левает «потенциальный барьер» диода, го типа).
приводя к поступлению электронов в об­ Р ассм отри м вкратц е в о зм о ж н о сти ,
ласть базы, где они испытывают сильное предоставляемые ПТ различного типа.
притяжение со стороны коллектора. Хотя Предварим, однако, это рассмотрение не­
при этом через базу будет протекать сколькими замечаниями общего плана.
некоторый ток, большинство такого ро­ Наиболее важной характеристикой ПТ
да «неосновных носителей» захватывает­ является отсутствие тока затвора. Полу­
ся коллектором. Результатом является чаемое, как следствие этого, высокое
коллекторный ток, управляемый (мень­ входное полное сопротивление (оно мо­
шим по величине) током базы. Ток кол­ жет быть больше 1014 Ом) существенно во
лектора пропорционален скорости ин- многих применениях и в любом случае
жекции неосновных носителей в базу, упрощ ает проектирование схем. В к а­
которая явл яется эк с п о н е н ц и а л ь н о й честве аналоговых переключателей и уси­
функцией разности потенциалов б а з а - лителей со сверхвысоким входным пол­
эмиттер (уравнение Э берса-М олла). Б и ­ ным сопротивлением ПТ не имеют себе
полярный транзистор можно рассматри­ равных. Сами по себе или в сочетании
вать как усилитель тока (с огрубленно по­ с биполярными транзисторами они легко
стоянным коэффициентом усиления й2|Э) встраиваю тся в интегральн ы е схемы.
или как прибор-преобразователь прово­ В следующей главе мы увидим, насколь­
димости (Э берс-М олл). ко успеш но это сделано при создании
122 Глава 3

близких к совершенству (и фактически


Сток Коллектор
простых в использовании) операционных
усилителей, а в гл. 8-11 будет показано,
как интегральные схемы на М ОП-транзи-
сторах революционизировали цифровую
электронику. Так как на малой площади
в ИМ С может быть размещено большее
число слаботочных ПТ, то они особенно
плезны для создания больших интеграль­
Затвор - Ё П одложка База
К
ных микросхем (БИ С ), применяемых в
Исток Эмиттер
цифровой технике, таких как микрокаль­
куляторы, микропроцессоры и устройства
памяти. Плюс к тому недавнее появле­ Рис. 3.1. а - «-канальный МОП-транзистор; б —бипо­
ние сильноточных ПТ (30 А или более) лярный п -р —«-транзистор.
позволяет заменить биполярные транзис­
торы во многих применениях, зачастую
получая более простые схемы с улучшен­ тор (рис. 3.1). В норм альном режиме
ными параметрами. сток (или соответствующий ему коллек­
тор) имеет полож ительны й потенциал
3.01. Характеристики относительно истока (эмиттера). Ток от
полевых транзисторов стока к истоку отсутствует, пока на зат­
вор (базу) не будет подано положитель­
Иной новичок буквально «впадает в столб­ ное по отношению к истоку напряжение.
няк», впрямую сталкиваясь с обеску­ В последнем случае затвор становится
раживающим разнообразием типов ПТ «прямосмещенным», и возникает ток сто­
(см., например, первое издание этой кн и ­ ка, который весь проходит к истоку. На
ги!), разнообразием, возникающим как рис. 3,2 показано, как изменяется ток
следствие возможных комбинаций поляр­ стока / с в зависимости от напряжения
ности (п- и р-канальные), вида изоляции сток -и сток Ucw при нескольких значе­
затвора (ПТ с полупроводниковым пере­ ниях управляющего напряжения затвор-
ходом или М ОП-транзисторы с изолято­ исток и ш . Для сравнения здесь же при­
ром в виде окисла), а также типа легиро­ ведено соответствующее семейство кри­
вания канала (ПТ обогащенного или обед­ вых зависимости / к от и кэ для обычного
ненного типа). Из восьми имеющихся в биполярного п —р —я-транзистора. О че­
результате этих комбинаций возможнос­ видно, что я-канальны е М О П -транзис­
тей шесть могли бы быть реализованы, а торы и биполярные п —р —я-транзисторы
пять - реализованы на практике. Основ­ во многом схожи.
ной интерес представляют четыре случая Подобно п—р —я-транзистору, ПТ имеет
из этих пяти. большое приращение полного сопротив­
Чтобы понять, как работает ПТ (и ис­ ления стока, в результате чего при напря­
ходя из здравого смысла), будет правиль­ жении U,,..
СИ
свыше 1—2 В ток стока почти
но, если мы начнем только с одного не меняется. Для этой области характе-
типа, точно так, как мы сделали с бипо­ ристик ПТ неудачно выбрано название
лярным я —р - п -транзистором. Хорошо «область насыщения», тогда как у бипо­
разобравшись с ПТ выбранного типа, мы лярны х транзисторов соответствующая
в дальнейшем будем иметь минимум труд­ область называется «активной». Подобно
ностей в изучении остальных членов этого биполярному транзистору, чем больше
семейства. смещение затвора ПТ относительно исто­
Входные характеристики ПТ. Рассмот­ ка, тем больше ток стока. В любом случае
рим вначале я-канальный М ОП-транзис- поведение ПТ ближе к идеальным уст­
тор обогащенного типа, биполярным ана­ ройствам — преобразователям проводи­
логом которого является п —р —п-транзис- мости (постоянный ток стока при неиз-
Полевые транзисторы 123

ю.

■1,95 В

-1,90 В

-1,85 В
.д а в
.1 ,7 5 В
-.1 ,7 0 В
20
С*

Рис. 3.2. Измеренные семейства выходных характеристик «-канального МОП-транзистора VN0106 (а) и бипо­
лярного л-р-л-транзистора 2N3904 (6).

менном напряжении затвор-исток), чем устройство, усиливающее ток (коэффици­


биполярных транзисторов; согласно урав­ ент усиления тока был бы равен беско­
нению Э берса—М олла у б и п олярн ы х нечности). Вместо этого будем рассматри­
транзисторов должны быть превосходные вать ПТ как характеризуемое крутизной
характеристики выходной проводимости, устройство - преобразователь проводимо­
однако эти идеальные характеристики не сти с программированием тока стока н а­
достигаются и з-за эф ф екта Эрли (см. пряжением затвор—исток, — так, как это
разд. 2. 10). мы делали с биполярным транзистором в
До сих пор ПТ выглядел подобно п —р —п- толковании Эберса—Молла. Напомним,
транзистору. Посмотрим, однако, на ПТ что крутизна дт есть просто отношение
поближе. С одной стороны, свыше нор­ /с /и си (как и обычно, строчные буквы ис­
мального диапазона ток насыщения сто­ п о л ь зу ю тс я, чтобы п о к а за т ь « м а л о ­
ка растет довольно умеренно при уве­ сигнальные» изменения параметра; т. е.
личении напряжения затвора ( £ЛИ). Ф ак­ /с /мси = 5/с/6 6 гси). В-третьих, у М ОП-
тически он пропорционален \ и ш ~ транзистора затвор действительно изоли­
где Un — «пороговое напряжение затво­ рован от канала сток—исток; поэтому, в
ра», при котором начинает идти ток стока отличие от биполярных транзисторов (и
(для ПТ на рис. 3.2 Un » 1,63 В); сравните от ПТ с /?-л-переходом, как мы далее уви­
этот слабый квадратичный закон с крутой дим), можно подавать на него положи­
экспоненциальной зависимостью, данной тельное (или отрицательное) напряжение
нам Эберсом и Моллом. Во-вторых, по­ до 10 В и более, не заботясь о диодной
стоянный ток затвора равен нулю, так проводимости. И наконец, ПТ отличается
что мы не должны смотреть на ПТ как на от биполярного транзистора в так назы-
124 Глава 3

+10 в 10 в

-О—
Вх. сигнал

Ш) Лампа
108, 0,1 А Рис. 3.4.
+ 15 В: ключ BKJ1
земля: ключ ВЫКЛ

имеет /?вкл < 0,2 Ом, что превосходно для


данной задачи.
VN0106
<
S>
. На рис. 3.4 показана схема «аналогово­
го переключателя», которую вообще не­

1 возм ож н о вы п олн ить на б и п олярн ы х


транзисторах. Идея этой схемы состоит
в том, чтобы переключать проводимость
ПТ из разомкнутого (затвор смещен в «об­
Рис. 3.3. Ключ на МОП-транзисторе.
ратном» направлении) в замкнутое состо­
ваемой линейной области графика, где его яние («прямое» смещение затвора), тем
поведение довольно точно соответствует самым блокируя или пропуская анало­
поведению резистора, даже при отрица­ говый сигнал (позже мы увидим множе­
тельном t/CH, это оказывается очень по­ ство п ри ч и н в ы п о л н ять такого рода
лезным свойством, поскольку, как вы уже вещи). В данном случае мы должны лиш ь
могли догадаться, эквивалентное сопро­ обеспечить, чтобы на затвор подавалось
тивление ст о к -и сто к программируется более отри цательное переклю чаю щ ее
напряжением затвор-исток. напряжение, чем любой размах входного
Два примера. В П Т ещ е н ай д ется, переключаемого сигнала (ключ разомк­
чем нас удивить, О днако прежде чем нут) или на несколько вольт более поло­
углубляться в детали, посмотрим на две жительное, чем любой входной сигнал
просты е п ереклю ч аю щ ие схем ы . Н а (ключ замкнут). Биполярные транзисторы
рис. 3.3 показан МОП-транзисторный эк­ для такой схемы непригодны, поскольку
вивалент рис. 2.3 —первого из рассмотрен­ база проводит ток и образует с коллекто­
ного нами насыщенного транзисторного ром и эмиттером диоды, что приводит к
переключателя. Схема на ПТ даже проще, о п а с н о м у э ф ф ек ту « защ ел к и в ан и я » .
поскольку здесь мы соверш енно не дол­ В сравнении с этим М О П -транзистор
жны заботиться о неизбежно возникшем восхитительно прост, нуждаясь лишь в по­
ранее компромиссе между необходимос­ даче на затвор (являющийся практически
тью задать соответствующий необходи­ разомкнутой цепью) напряжения, равного
мый для переключения ток базы (рас­ размаху входного аналогового сигнала.
сматривая наихудший случай — м и н и ­ Будьте, однако, внимательны: наше рас­
мальное зн ачение Ипэ в сочетани и с смотрение этой схемы было до некоторой
сопротивлением холодной нити лампы) степени упрощением - например, мы игно­
и исключить избыточное расходование рировали влияние емкости затвор-канал,
энергии. Вместо этого мы всего лиш ь а также вариации RBtui при изменении сиг­
подаем на затвор, имеющий высокое пол­ нала. Позже мы еще поговорим об ана­
ное входное напряжение, полное напря­ логовых ключах.
жение питания постоянного тока. П о­
скольку включенный ПТ ведет себя как 3.02. Типы ПТ
резистор с малым по сравнению с нагруз­
кой сопротивлением, потенциал стока /И-канальные, /7-канальные ПТ. Теперь о
станет при этом близок к потенциалу зем­ генеалогическом древе. Во-первых, поле­
ли; типичный мощный МОП-транзистор вые транзисторы (как и биполярные) мо-
Полевые транзисторы 125

подается положит, напряжение

Рис. 3.5. Л '-канальный МОП-транзистор.

гут выпускаться обеих полярностей. Т а­ с изолированным затвором. Изолирую­


ким образом, зеркальным отображением щий слой довольно тонкий, обычно его
нашего «-канального М ОП-транзистора толщина не превышает длины волны ви­
является /ьканальный МОП-транзистор. димого света и он может выдержать на­
Его характеристики симметричны и на­ п ряж ени е затвора до ± 20 В и более.
поминают характеристики р ~ п —р-транзи- МОП-транзисторы просты в применении,
стора: сток нормально имеет отрицатель­ поскольку на затвор можно подавать на­
ное смещение по отнош ению к исто­ пряжение любой полярности относитель­
ку, и ток стока будет проходить, если на но истока, и при этом через затвор не
затвор подать отрицательное по отнош е­ будет проходить никакой ток. Эти тран­
нию к истоку напряжение не менее од- зисторы, однако, в большой степени под­
ного-двух вольт. Симметрия несовершен­ вержены повреждениям от статического
на, поскольку носителями являются не электричества, вы можете вывести из
электроны, а дырки с меньшей «подвиж­ строя устройство на М ОП-транзисторах
ностью» и «временем жизни неосновных буквально одним прикосновением.
носителей». Эти параметры полупровод­ С им волическое изображ ение М О П -
ника важны для свойств транзисторов, а транзистора показано на рис. 3.6. Здесь
выводы стоит запомнить: /^-канальные представлен дополнительный вывод, «те­
ПТ имеют обычно более плохие характе­ ло» или «подложка» — кусок кремния, на
ристики, а именно более высокое поро­ котором выполнен ПТ (см. рис. 3.5). Так
говое напряжение, более высокое /?вул и как подложка образует с каналом диодное
меньший ток насыщения. Сток Сток
МОП-транзисторы, ПТ с р —«-перехо­

*
дом. У М О П -тр ан зи сторов (м етал л — Зат­ Под­ Зат- Под-
вор
||~ » рлож ка в о [Г А ложка
окисел-полупроводник) затвор изолиро­
ван от проводящего канала тонким слоем Исток Исток
S i0 2 (стекла), н аращ ен ного на канал или или
(рис. 3.5). Затвор, который может быть Сток Сток
металлическим или легированным полу­ Зат­ Под-
Зат- Под­
проводником, действительно изолирован B01 лож ка вор лож ка
от цепи исток—сток (характеристическое
сопротивление >1014 Ом) и действует на Исток Исток
проводимость канала только своим элек­
трическим полем. Иногда М ОП -транзис­ Рис. 3.6. а - я-канальный и б - /^-канальный МОП-
торы называют полевыми транзисторами транзисторы.
126 Глава 3

Сток I потенциалом (обычно это исток). П о­


этому затвор работает, будучи смещен в
Затвор обратном направлении по отношению к
-ц . <-ц каналу, и в цепи затвора нет никакого
Исток тока, кроме тока утечки. Схемные изо­
бражения ПТ с р я-переходом представ­
Сток лены на рис. 3.7. И вновь мы предпочи­
■ Сток I таем символические обозначения со сме­
Затвор - 4 - |
щ е н н ы м за т в о р о м , что п о з в о л я е т
Зат вор— (— К
идентифицировать исток. Как мы увидим
Исток ' | далее, ПТ (как с р~ п-переходом, так и
Исток
М ОП-транзисторы) почти симметричны,
или но обычно они изготавливаются таким об­
Сток разом, чтобы получить емкость между сто­
ком и затвором меньше, чем емкость меж­
Затвор ду истоком и затвором, вследствие чего
- К использовать сток в качестве выходного
Исток
вывода предпочтительнее.
Рис. 3.7. а - п-канальный и б — р-канальный ПТ Обогащение, обеднение. ./V-канальный
с р-л-переходом. МОП-транзистор, с которого мы начали
эту главу, не проводил ток при нулевом
соединение, напряжение на ней должно (или отрицательном) смещении затвора
быть ниже напряжения проводимости. и начинал проводить, когда затю р стано­
Она может быть соединена с истоком или вился положительно смещен относительно
с точкой схемы, в которой напряжение истока. Этот тип ПТ известен как ПТ
ниже (выше), чем у истока я-канального обогащенного типа. Имеется и другая воз­
(p-канального) МОП-транзистора. Обыч­ м ож ность и зготовлени я я-кан ал ьн о го
но на схемах вывод подложки не показы­ ПТ, когда полупроводник канала «леги­
вается; более того, часто инженеры ис­ рован» так, что даже при нулевом смеще­
пользуют символ с симметричным затво­ нии затвора имеется значительная прово­
ром. К сожалению, при этом не остается димость канала, и на затвор должно быть
ничего, что позволило бы вам отличить подано обратное смещение в несколько
сток от истока, но, что еще хуже, нельзя вольт для отсечки тока стока. Такой ПТ
отличить я-кан ал ьн ы й тран зи стор от известен как прибор обедненного типа.
/^-канального! В этой книге мы будем ис­ М ОП-транзисторы могут быть изготовле­
пользовать только нижние схемные изоб­ ны любой разновидности, поскольку здесь
ражения, дабы исключить недоразумения, нет ограничения на полярность затвора.
хотя часто мы будем оставлять вывод под­ Однако ПТ с / r -я-переходом допускают
ложки неподключенным. лиш ь одну полярность смещения затвора,
В ПТ с р —я-переходом затвор образует а посему их выпускают только обеднен­
с расположенным под ним каналом по­ ного типа.
лупроводниковый переход. Это влечет за График зависимости тока стока от на­
собой важное следствие, состоящее в том, пряжения затвор—исток при фиксирован­
что в П Т с р —п-переходом во избежание ном значении напряж ения стока (рис.
прохождения тока через затвор последний 3.8) может помочь нам уяснить, в чем
не должен быть смещен в прямом направ­ состоит это различие. М ОП-транзистор
лении относительно канала. Например, у обогащенного типа не проводит ток, пока
я-канального ПТ с р —я-переходом диод­ напряжение затвора не станет положитель­
ная проводимость будет наблюдаться по ным (имеются в виду я-канальные ПТ)
мере того, как напряжение на затворе по отношению к истоку, в то время как
приближается к +0,6 В по отнош ению ток стока М ОП-транзистора обедненного
к концу канала с более отрицательным типа будет близок к максимальному при
Полевые транзисторы 127

Ограничение для
ПТ с р-л-пере-

✓ ''Т м А
V
1

-
ходом

ПТ с р-п-пере­
ПТ
_1_
М О П-транзис­
2 /
- . ходом торы
- ( 1

I 1 мкА - / л -к а н а л ь н ы й л-каналfa- р-каналь- Обедненные Обогащенные


М О П -тр ан зи сто р ные ные ■
л-каналь-
I I I 1 1 || 1 1 1 1 ные
л-каналь- р-каналь-
-4 -3 -2 -1 0 1 2 3 4 5 ные ные

Рис. 3.8. Обогащенные (/) и обедненные (2) ПТ Рис. 3.9.


отличаются только сдвигом напряжения затвор-исток
(лог. масштаб).

напряжении затвора, равном напряжению разобраться в ситуации. Различные при­


истока. В некотором смысле такое раз­ боры (включая весь «букет» биполярных
биение на две категории является искус­ прп- и рлр-транзисторов) нарисованы в
ственным, поскольку два графика на рис. квадрантах, характеризующих их входное
3.8 отличаются только на сдвиг по оси Um . и выходное напряжение в активной обла­
Вполне возможно было бы производство сти при заземленном истоке (или эмитте­
«промежуточных» М О П -транзисторов. ре). При этом вовсе не обязательно запо­
Тем не менее эта разница становится су­ минать свойства каждого из пяти представ­
щественной, когда дело доходит до про­ ленных здесь типов ПТ, поскольку они в
ектирования схем. основном одинаковы.
Заметим, что ПТ с р —«-переходом - это Во-первых, при заземленном истоке ПТ
всегда приборы обедненного типа и сме­ включается (переходит в проводящее со­
щение затвора относительно истока не дол­ стояние) путем см ещ ения напряж ения
жно быть больше приблизительно +0,5 В затвора в сторону напряжения питания
(для «-канала), иначе появится проводи­ стока. Это верно как для всех пяти типов
мость в диодном переходе затвор-канал. ПТ, так и для биполярных транзисторов.
МОП-транзисторы могут быть обогащен­ Например, для я-канального ПТ с р —п-
ными или обедненными, но на практике переходом (который автоматически явля­
редко можно встретить последние (исклю­ ется обедненным) используется положи­
чением являются «-канальные ПТ на GaAs тельное напряжение питания стока, как
и каскодные пары со «сдвоенным затво­ и для всех я-канальных приборов. Таким
ром» для радиочастотных применений). образом, этот ПТ включается положи-
Отсюда следует, что во всех практически Выход
встречающихся случаях мы имеем дело
только с ПТ с /?—«-переходом обедненно­ л-канальныи л-канальны й
го типа либо с обогащенными М ОП-тран- обеденный обогащенный
л-канальный ПТ с лрп-транзисторы
зисторами; и те и другие могут быть любой р-п-переходом
полярности, т. е. я-кан альны м и либо Вход - - + Вход
р- канальными.
р-канальный л-канальныи с
обогащенны й р-п-переходом
3.03. Общая классификация ПТ рлр-тра н з и сто ра м и

Генеалогическое древо (рис. 3.9) и карта


входных/выходных напряжений при за­ Выход
земленном истоке (рис. 3.10) помогают Рис. 3.10.
128 Глава 3

тельным смещением затвора. Здесь есть


тонкость, состоящая в том, что у п ри ­
боров обедненного типа для получения
нулевого тока стока затвор должен иметь
обратное смещ ение, в то время как у
приборов обогащенного типа достаточ­
но для этой цели нулевого напряжения
на затворе.
Во-вторых, в связи с примерной сим­
метрией истока и стока любой из этих
выводов может работать как исток (исклю­
чение составляют мощные М ОП-транзи-
сторы, у которых подложка внутри кор­
пуса соединена с истоком). При изучении
работы ПТ, а также при расчетах за исток
принимается вывод, наиболее «удален­
ный» по напряжению от активного пита­ Рис. 3.11. Характеристики ПТ различных типов и по­
ния стока. Например, допустим, что ПТ лярностей (лог. масштаб). 1 —обогащенный ;ьканаль­
используется для замыкания на землю ный МОП-транзистор; 2 —обогащенный л-канальный
некоторой линии, в которой присутствуют МОП-транзистор; 3 — л-канальный ПТ с р —«-перехо­
дом; 4 — р-канальный ПТ с р —«-переходом.
как положительные, так и отрицательные
сигналы. Обычно такая линия подклю­
чается к стоку ПТ. Если в качестве клю­ пряжение отсечки. Для ПТ с ^-«-переходом
ча взят «-канальны й М О П -транзистор величина тока стока при замкнутых на­
обогащенного типа и если случится, что коротко затворе и истоке обозначается
в выключенном состоянии напряжение на в специф икациях как / СИнач; она близка
стоковом выводе будет отрицательным, то к величине максимально допустимого тока
для подсчета отпирающего напряжения стока, (/СИнач означает ток от стока к ис­
затвора этот вывод следует считать в дей­ току при короткозамкнутых затворе и ис­
ствительности «истоком». Это означает, токе. Здесь и далее в этой главе мы при­
что для обеспечения надежного запира­ водим эту нотацию, в которой первые две
ния ключа отрицательное напряжение на буквы индекса обозначаю т соответст­
затворе должно быть не только уровня вующие выводы, а за ними указывается
«земли», но и превышать (по абсолютной состояние.) Для обогащенных М ОП-тран-
величине) наибольш ий отрицательный зисторов аналогичной спецификацией яв­
сигнал. ляется / СИнач при некотором заданном
Характеристики, приведенные на рис. напряжении прямого смещения затвора
3.11, помогут вам разобраться в этих за­ (/си У любого прибора с обогащением
путанных вопросах. Еще раз отметим, что был бы равен нулю).
разница между обогащенными и обеднен­ Для ПТ с р-я-переходом напряжение
ными приборами выражается только в затвор—исток, при котором ток стока ста­
сдвиге вдоль оси Um , т. е. имеется ли новится равен нулю, называется «напря­
большой ток стока или нет совсем ника­ жением отсечки» ( Umc) или «напряжени­
кого тока при напряжении затвора рав­ ем выключения» ( ^ ЗИвы](Л) и типичное его
ном н а п р я ж е н и ю и с т о к а . П о л ев ы е значение лежит в диапазоне от —3 до
и-канальные и ^-канальные транзисторы — 10 В (для p -канального прибора оно,
симметричны друг другу в том же смысле, разумеется, положительное). Для обога­
в каком являются таковыми биполярные щенного М ОП-транзистора аналогичная
п - р —п- и и—/ьтранзисторы. величина называется «пороговое напряже­
На рис. 3.11 мы использовали стандар­ ние», Un (или и ш пор) ,~ это напряжение
тные обозначения для таких важных па­ перехода затвор—исток, при котором на­
раметров ПТ, как ток насыщения и на- чинает проходить ток стока. Типичная ве­
Полевые транзисторы 129

личина 1/п составляет 0,5—5 В, разумеется С Лин<;й -


в «прямом» направлении. Читая литера­ ный /
туру по электронике на английском язы ­ учас ток 1 Участок насыщения
ке, не спутайте случайно Un (обозначае­ / (U„-U„)-3B
мое там как VT) с Ут в уравнении Эбер­ > " Т о к насыщения стока
с а —М о лла, к о т о р о е о б о зн а ч а е т т о к /1 пропорционален (l/3- Un)z
коллектора биполярного транзистора; у
этих двух величин нет ничего общего.
Имея дело с ПТ, легко запутаться в по­
j W „ -W „ ) =2 В
лярностях. Например, «-канальное уст­
ройство, у которого обычно сток поло­ (« з и -^ ) =1 В
жителен по отношению к истоку, может
иметь положительное или отрицательное 4i \ иси
напряжение на затворе, а также положи­ Линейный участок
простирается до
тельное (обогащенный тип) или отрица­
С=с =иш -и п
тельное (обедненный тип) пороговое н а­
пряжение. Еще более усложняет дело то, Рис. 3.12.
что сток может быть (и часто бывает) от­
смотрим на эти две области более под­
рицателен по отношению к истоку. Все
эти рассуждения, конечно, справедливы робно.
с заменой знаков для /ьканальных уст­ На рис. 3.12 схематически представлена
ройств. В дальнейшем, чтобы свести к указанная ситуация. В обеих областях ток
стока зависит от Um — Un, величины, на
минимуму ошибки, мы будем всегда иметь
в виду и-канальные устройства, если не которую напряжение затвор—исток пре­
оговорено противное. Аналогичным об­ вышает пороговое напряжение (или н а­
пряжение отсечки). Линейная область, в
разом, поскольку М ОП-транзисторы по­
чти всегда обогащенные, а ПТ с р —п-пе­ которой ток стока приблизительно про­
порционален Um , простирается до напря­
реходом всегда обедненного типа, мы бу­
жения U3li нас, после чего ток стока почти
дем далее опускать эти их определения.
не изменяется. Крутизна наклона линей­
ного участка, IC/U CH, пропорциональна
3.04. Выходные характеристики ПТ напряжению смещения, Um — Un. Далее,
напряж ение стока 6ГСИнас, при котором
На рис. 3.2 мы показывали семейство
кривая «выходит на насыщение», равно
кривых зависимости /с от Ucw измерен­
Um — (Jn, в результате чего ток насыще­
ных для я-к ан ал ь н о го об огащ енн ого
ния / с , становится пропорционален
МОП-транзистора VN0106. (Транзисто­
( иш — и п)2— квадратичный закон, о ко ­
ры семейства VN01 работают в различных
тором мы упоминали ранее. Итак, име­
диапазонах напряжений, что отражается
ем универсальные формулы для опреде­
в двух последних цифрах полного обозна­
ления тока стока ПТ:
чения. Например, VN0106 рассчитан на
напряжение 60 В.) Мы уже отмечали, что 1с = 2 к [(и с ц - и п) Ря - 0 , 5 д
ПТ ведут себя как хорошие преобразова­ (линейный участок),
тели проводимости (т. е. / с почти не из­
1С = к ( U-m ~ U j 1 (участок насыщения).
меняется при заданном U.m) практически
во всем диапазоне изменения £/си, за ис­ Если мы назовем Um — Un (величину, на
ключением его малых значений, где они которую напряжение затвор—исток пре­
проявляют себя как сопротивление (т. е. вышает порог) «напряжением возбужде­
/с пропорционален 6Г СИ). В обоих случа­ ния затвора», то можно сформулировать
ях приложенное к переходу затвор—исток три важных результата из сказанного:
напряжение управляет поведением ПТ, а) удельное сопротивление ПТ в линей­
которое хорошо можно описать аналогом ной области обратно пропорционально на­
уравнения Эберса-М олла для ПТ. По- пряж ению возбуж дения, б) л ин ейн ы й
130 Глава 3

+25°С
равнивающих резисторов, в отличие от
биполярны х транзисторов, где «резис­
торный балласт» в цепях эмиттеров необ­
ходим (см. разд. 6.07). Этот же отрица­
тельны й температурный коэф ф и ци ен т
предотвращает также тепловую гонку на
локальном участке перехода (эффект, из­
вестный под названием «прогиб тока»),
которая серьезно ограничивает допусти­
мую мощность рассеяния больших бипо­
лярных транзисторов, как мы увидим при
обсуждении «вторичного пробоя» и «пло­
щади безопасной работы» в гл. 6.
При малых токах стока (когда домини­
рует температурная зависимость Un) / с
растет с ростом температуры и точка пе­
Рис. 3.13. Зависимости /с ( 6'!и) я-канального МОП-
рехода от возрастания к убыванию нахо­
транзистора 2N4351 (квадратичный масштаб верти­ дится при некотором промежуточном зна­
кальной оси). чении тока стока. Этот эффект исполь­
зуется в операционных усилителях на ПТ
участок простирается вплоть до напря­ для минимизации температурного дрей­
жения, равного напряжению возбуждения фа, как мы увидим в следующей главе.
и в) ток насыщения стока пропорционален Субпороговая область. П риведенное
напряж ению возбуж дения в квадрате. выше выражение для тока насы щ ения
Приведенные выражения предполагают,
что подложка соединена с истоком. Обра­
тите внимание на то, что «линейный учас­
ток» не является строго линейным, по­
скольку формула содержит нелинейный
член позже мы покажем остроумную
схему, фиксирующую эту составляющую.
Масштабный коэффициент к зависит от
таких параметров, как геометрия ПТ, ем­
кость слоя окисла и подвижность носите­
лей. У этой постоянной отрицательный
температурный коэффициент:
к~
Этот эффект сам по себе приводил бы к
уменьшению / с с увеличением темпера­
туры. Однако это компенсируется тем,
что Un также в слабой степени зависит от
температуры с коэффициентом 2 -5 мВ/°С;
суммарный эффект дает зависимость тока
стока от температуры, показанную на
рис. 3.13.
При больших токах стока убывание
коэффициента к с ростом температуры
влечет уменьшение тока стока —настоящее
тепловое бегство! Как следствие этого, Рис. 3.14. Измеренные графики зависимости тока
ПТ какого-нибудь одного типа могут стока от напряжения затвор—исток для двух типов
быть соединены параллельно без токовы­ МОП-транзисторов.
Полевые транзисторы 131

стока непригодно для очень малых зна­ 0,83 В (также при / к = 1 мА). Итак, вот
чений тока стока. Этот диапазон известен что мы можем ожидать:
как «субпороговая» область, где канал на­
Характеристика Диапазон Разброс
ходится ниже порога проводимости, од­ номинальных значений
нако некоторый ток все-таки проходит за А :И н а ч ’ ^Сик-п
от 1 мА до 1 А X 5
счет небольшой вероятностной популяции Л С И вкл
0,050м -10к0м х 5
электронов с большим тепловым возбуж­ g„ при 1 МА 500-3000 мкс х 5

дением. Если вы изучали физику или хи­ U „ { p - n - ПТ) 0 ,5 -1 0 В 5В


мию, то, возможно, знаете из того, что и п (МОП) 0,5-5 В 2В
проходили, что результирующий ток име­ ^СИпр 6-1000 В
6-125 В
ет экспоненциальную зависимость: ЗИ пр

/с = £ехр {11ш — £/п). В этой таблице Лси вкл — сопротивление


сток—исток (линейная область, т. е. малое
Мы измерили ток стока некоторы х напряжение U-M) для полностью откры­
МОП-транзисторов в диапазоне измене­ того ПТ, т. е. при заземленном затворе
ния его на 9 декад (от 1 нА до 1 А) в случае ПТ с />-я-переходом или при
и построили графики зависимости /с от больш ом (обы чно прин и м ается 10 В)
иш (рис. 3.14). Диапазон от 1 нА до I мА напряжении затвор—исток у МОП-транзи-
очень точно соответствует экспоненте; стора. / СИнач и /зи ви1 - значения тока сто­
выше этого субпорогового участка кри­ ка в области насыщения (большое £/си)
вые входят в нормальную область насы­ при тех же самых отпирающих условиях
щения. Для я-канальных М ОП-транзис- возбуждения затвора. Um есть напряжение
торов (типа VN01) мы проверили выбор­ отсечки (ПТ с /ья-переходом), U„ — поро­
ку из 20 транзисторов (четырех разных говое напряжение затвора (М О П -тран-
изготовителей, разброс выпуска - 2 года) зисторы), a UCMпр и U пр- соответствую­
и нанесли диапазон разноса значений, щие напряжения пробоя. Как можно ви­
чтобы получить представление о их вариа­ деть, ПТ с заземленным истоком может
бельности (см. следующий разд.). Обра­ быть хорошим источником тока, но нель­
тите внимание на несколько худшие харак­ зя точно предсказать, каким будет этот
теристики (Un, /Свкл) «комплементарного» ток. Напряжение Um , при котором по­
транзистора VP01. лучается заданный ток стока, может за­
метно варьировать в отличие от предска­
3.05. Производственный разброс зуемого (а 0,6 В) и ъэ у биполярных тран­
характеристик ПТ зисторов.
Согласование характеристик. К ак вы
Перед тем как рассматривать какие-ни­ можете видеть, ПТ уступают биполярным
будь схемы, оценим сначала диапазон па­ транзисторам в предсказуемости иш , т. е.
раметров ПТ (таким как / СИнач и U^), значения U3„, обеспечивающие заданный
а также их «разброс» среди приборов / с, имеют большой разброс. Приборы,
одного типа с целью получения более обладающие большим разбросом, будут,
полного представления о ПТ. К сожале­ вообщ е говоря, давать больш ий сдвиг
нию, многие характеристики ПТ имеют (напряж ение небаланса), если их п ри ­
разброс намного больше, чем соответст­ менять в качестве дифференциальных пар.
вующие характеристики биполярных тран­ Например, типичный серийный биполяр­
зисторов, - факт, который проектиров­ ный транзистор дает разброс и вэ в 50 мВ
щик должен помнить. Например, в пас­ или около того при некотором заданном
порте на VN01 (типичный л-канальный токе коллектора без всякого отбора тран­
МОП-транзистор) оговорено, что Un мо­ зисторов (берем подряд любой прибор,
жет составлять от 0,8 до 2,4 В (при имеющийся под рукой). Соответствую­
/с = 1 мА) в сравнени с тем, что анало­ щ ая цифра для М ОП-транзисторов —бо­
гичный параметр биполярного я/?я-тран­ лее 1В! Но поскольку ПТ обладают весь­
зистора и ъэ имеет разброс от 0,63 до ма ж елател ьн ы м и х ар актер и сти кам и ,
a 6

Рис. 3.15. Гребенчатая структура (а) и температурно-градиентная компенсация (б).

имеет смысл затратить некоторые допол­ приводимых в следующей главе, имеет ти­
нительные усилия для уменьшения сдвига пичное значение входного тока 50 пА и
путем изготовления согласованных пар. стоит 60 цент; популярный TLC117 со вхо­
Проектировщики ИС пользуются такими дом на М ОП-транзисторах стоит пример­
приемами как перемежающаяся (гребенча­ но столько же и имеет типичное значение
тая) структура (два прибора разделяют входного тока всего 1 пА! Для сравнения
между собой один и тот же участок под­ укажем, что обычный биполярны й ОУ
ложки ИС) и выравнивание температур­ цА741 имеет типичное значение входного
ных градиентов в схеме между приборами тока 80000 пА (80 нА).
(рис. 3.15). В табл. 3.1—3.3 дан перечень типичных
Получаемые результаты впечатляю т. ПТ с ^-«-переходом (как одиночных, так
Хотя ПТ не могут сравняться с биполяр­ и сдвоенных) и малосигнальных М ОП-
ными транзисторами в согласованности транзисторов. Мощные М ОП-транзисто­
Um, их параметры вполне пригодны для ры, которые мы рассмотрим в разд. 3.14,
больш инства прим енений. Н априм ер, перечислены в табл. 3.5.
наилучшим образом согласованная пара
ПТ имеет сдвиг 0,5 мВ и температурный ОСНОВНЫЕ СХЕМЫ НА ПОЛЕВЫХ
коэффициент 5 мкВ/°С (макс.), в то время ТРАНЗИСТОРАХ
как у лучшей биполярной пары эти зна­
чения будут 25 мкВ и 0,6 мкВ/°С, грубо Теперь мы готовы к тому, чтобы рассмотреть
говоря, в 10 раз лучше. Операционные схемы на ПТ. Обычно можно найти способ
усилители (универсальны е д и ф ф ерен ­ преобразовать схему на биполярных транзис­
циальные усилители с высоким коэффи­ торах в схему с использованием ПТ. Однако
циентом усиления, о которых мы будем эта новая схема может не дать улучшения
говорить в следующей главе) выпускают­ характеристик! В оставшейся части этой гла­
ся как на полевых, так и на биполярных вы мы постараемся показать схемные реше­
транзисторах; для высокоточны х п ри ­ ния, в которых проявляются преимущества
менений вы сможете, вообщ е говоря, уникальных свойств ПТ, т. е. схемы, кото­
выбрать ОУ с биполярной «начинкой», рые работают лучше, будучи построены на
(ввиду тесного согласования входных ПТ, или которые совсем нельзя изготовить
транзисторов по 11ъэ), в то время как ОУ на биполярных транзисторах. С этой целью
с ПТ-входом, очевидно, является наилуч­ может оказаться полезным сгруппировать схе­
шим выбором для высокоомных схем (их мы на ПТ по категориям; здесь особенно важ­
входы-затворы ПТ - не потребляют то­ ным является, как мы это видим.
ка). Например, недорогой ОУ типа LFAW Схемы с высоким полным сопротивле­
со входом на ПТ с ^-«-переходом, кото­ нием (слаботочные). Сюда относятся бу­
рый мы используем повсеместно в схемах, ферные или обычные усилители для тех
Полевые транзисторы 133

Таблица 3.1. Полевые транзисторы с р —fi­ переходом


еа
lm 4 шч, “ А v n(* U . в Сш,пФ Сх , пФ Примечания
5 мин. макс. мин. макс. макс. макс.
я-канальные
2N4117A- 40 0,03 0,09 0,6 1,8 3 1,5 Малая утечка: 1 пА (макс.)
2N4119A 40 0,24 0,6 2 6 4 1,5
2N4338 50 0,2 0,6 0,3 1 6 2 0,51 А/Гц|/2 при 100 кГц
2N4416 30 5 15 2,5 6 4 0,8 Малый СВЧ-шум: 2 дБ (макс.)
при 100 МГц
2N4867A- 40 0,4 1,2 0,7 2 25 5 Низкочастотный, малый шум:
2N4869A 40 2,5 7,5 1,8 5 25 5 10 нВ/Гц|/2 (макс.) при 10 Гц
2N5265- 60 0,5 1 - 3 7 2 6 типов в серии, строгая специфи­
2N5270 60 7 14 — 8 7 2 кация по /Сн<ч; комплементар­
ные к р-канальным 2N5358-64.
2N5432 25 150 _ 4 10 30 15 Ключ: Лекя= 5 Ом (макс.)
2N5457- 25 1 5 0,5 6 7 3 Общего назначения; комплемен­
2N5459 25 4 16 2 8 7 3 тарные к р-канальным 2N5460—
62
2N5484— 25 1 5 0,3 3 5 1 Малый шум на ВЧ; недорогой
2N5486 25 8 20 2 6 5 1
2SK117 50 0,6 14 0,2 1,5 13" 3" Сверхмалый шум: 1 нВ/Гц|/3
2SK147 40 5 30 0,3 1,2 75" 15» Сверхмалый шум: 0,7 нВ/Гц|/2
/7-канальные
2N5114 30 30 90 5 10 25 7 Ключ: /?вкл = 75 Ом
2N5358- 40 0,5 1 0,5 3 6 2 7 видов в серии, строгая специфи­
2N5364 40 9 18 2,5 8 6 2 кация по 1Снач; комплементар­
ные к я-канальным 2N 5265-70
2N5460- 40 1 5 0,75 6 7 2 Общего назначения; комплемен­
2N5462 40 4 16 1,8 9 7 2 тарные к я-канальным 2N5457—
59
2SJ72 25 5 30 0,3 2 185" 55" Сверхмалый шум: 0,7 нВ/Гц|/2
11Типичное значение.

применений, где ток базы или конечное Мы еще обсудим вкратце данный пред­
полное входное сопротивление биполяр­ мет. И снова говоря «аналоговый ключ»,
ных транзисторов ограничивает их харак­ мы должны в общем случае иметь в виду
теристики. Хотя мы можем построить та­ интегральные микросхемы, а не схемы,
кие схемы на отдельно взятых ПТ, одна­ построенные на дискретных элементах.
ко с е го д н я ш н я я п р а к т и к а о тд ает Цифровая логика. М О П -транзисторы
предпочтение использованию интеграль­ доминируют при построении микропро­
ных схем, построенных на ПТ. В неко­ цессоров, схем памяти и больш инства
торых из них ПТ используется только в высококачественных цифровых логических
качестве высокоомного входного каска­ схем. М икромощные логические схемы
да, а вся остальная схема построена на изготавливаются исключительно на М ОП-
биполярных транзисторах, в других вся транзисторах. Здесь, как и прежде, М ОП-
схема построена на ПТ. транзисторы используются в составе и н ­
Аналоговые ключи. МОП-транзисторы тегральных схем. Далее мы увидим, по­
являются превосходными аналоговыми чему ПТ отдается предпочтение перед
ключами, управляемыми напряжением, биполярными транзисторами.
как мы уже у казы вали в разд. 3.01.
134 Глава 3

Таблица 3.2. М О П -транзисторы

Защита затвора
Тип 'ja В Примечание
Обогащен­ S
S
Rвед’, Ом С вел’с зс.
ный11 со (макс.) при при пФ
о
н ^СИпр’ ^ЗИпр’ V
2ГО мин. макс. исц = 10В макс. В В нА
S
мин.
«-канальные
3SK38A ТО V 500 3 - - 10 2,5 20 12 25
3N170 IL - 200 10 1,0 2 10 1,3 25 35 0,01
SD210 SI - 45 10 0,5 2 - 0,5 30 40 0,1 Малое Явкл
SD211 SI V 45 10 0,5 2 - 0,5 30 15 10 Малое
VN1310 ST - В 10 0,8 2,4 500 5 100 20 0,1 Малый УМОП;
диод С -И
IT 1750 1L - 50 20 0,5 3 10 1,6 25 25 0,01
VN2222L SI - 8 5 0,6 2,5 750 5 60 40 0,1 Малый УМОП;
диод С -И
CD3600 RC V 500 10 1,531 - 1,3 0,4 15 15 0,01 Эквивалент мат­
рицы 4007
2N3796 МО — — — -4 - 14 0,8 25 10 0,001 Обедненный;
W = 1,5 мА
2N4351 МО+ 300 10 1,5 5 3 2,5 25 35 0,01 Популярный
р- канальные
3N163 IL - 250 20 2 5 5 0,7 40 40 0,01
VP1310 ST - 25 10 1,5 3,5 250 5 100 20 0,1 Малый УМОП;
диод С -И
IT1700 IL 400 10 2 5 2 1,2 40 40 0,01
CD3600 RC V 500 10 1,83) - 1,3 0,8 15 15 0,02 Эквивалент мат­
рицы 4007
2N4352 МО+ - 600 10 1,5 6 2 2,5 25 35 0,01 Популярный
3N172 IL V 250 20 2 5 5 1 40 40 0,2 Популярный
" Если не указано обратное; :!| См. сноску к табл. 4.1; 31 типичное значение.

Таблица 3.3. Согласованные пары п-канальных ПТ с р —«-переходом


Тип t/„, мВ Дрейф 4* КОСС, f /(t/J , В etш(10 Гц),Сзс, пФ Примечания
<^си= дБ нВ/Гц|/2 (UJC =
мкВ/“С = 20 В), = 10 В) пА
ПА
макс. макс. макс. мин. мин. макс. макс. макс.
U421 10 10 0,2 90 0,4 2 50 1,5 Фирма Siliconix
2N3954A 5 5 100 1 3 150й 1,2 Общего назначения;
малый дрейф
2N3955 5 25 100 - 1 4,5 150" 1,2 Популярный
2N3958 25 — 100 — 1 4,5 150" 1,2
2N5196 5 5 15 - 0,7 4 202> 2
2N5520 5 5 100 100 0,7 4 15 5
2N5906 5 5 2 906» 0,6 4,5 70‘> 1,5 Малая утечка затвора
2N5911 10 20 100 — 1 5 203> 1,2 Малый шум на ВЧ
2N6483 5 5 100 100 0,7 4 10 3,5 • Малый шум на ВЧ
NDF9406 5 5 5 120 0,5 4 30 0,1 «Каскодный ПТ»:
малая Сзс
2N5452 5 5 1004> — 1 4,5 202) 1,25)
2SK146 20 - 10004» - 0,3 1,2 1,3 156) Сверхмалый шум
" При 100 Гц; 21 при 1 кГц; 3,при 10 кГц; 4> при 30 В; 51 при 20 В; 61 типичное значение.
Полевые транзисторы 135

Мощные переключатели. М ощ ны е
МОП-транзисторы часто бывают предпоч­
тительнее биполярных транзисторов для
переключения нагрузок, как мы уже по­
казали в нашей первой схеме, приведенной
в данной главе. Для таких применений
используются мощные дискретные ПТ.
Переменные резисторы; источники тока.
В «линейной» области стоковых характе­
ристик ПТ ведут себя подобно резисто­
рам, управляемым напряжением; в обла­
сти «насыщения» они являются управля­
емыми напряжением источниками тока.
Вы можете использовать эти присущие ПТ
свойства в своих схемах.
Общая замена биполярных транзисто­
ров. Вы можете использовать ПТ в гене­
раторах, усилителях, стабилизаторах н а­
пряжения, радиоприемных схемах (по
крайней мере в некоторых из них), - там,
где обычно используются биполярны е
транзисторы. Применение ПТ не гаран­
тирует улучшения схемы — иногда такая
замена желательна, иногда нет. Их сле­
дует просто иметь в виду как возможную
альтернативу. Рис. 3.17. Семейство выходных характеристик «-ка­
Давайте теперь посмотрим на указан­ нального ПТ с /9-и-перехолом типа 2N5484: зависи­
ные области применения. Для лучшего мость /с ( 6'си) при различных значениях Um при
полном масштабе изменений параметров (а) и на
понимания мы слегка изменим порядок начальном участке (б).
изложения.

3.06. Источники тока на ПТ МОП-транзистор, поскольку ему не тре­


с я-переходом буется смещения затвора (режим с обед­
нением). Из стоковых характеристик ПТ
ПТ используется в качестве источников (рис. 3.17) видно, что ток будет прибли­
тока в составе интегральных схем (в част­ зительно постоянным при UCii больше
ности в ОУ), а также иногда и в схемах на 2 В. Однако в силу разброса /с величи­
дискретных элементах. Простейший ис­ на этого тока непредсказуема. Например,
точник тока на ПТ показан на рис. 3.16; устройство 2N5484 (типичный «-каналь­
мы выбрали ПТ с /7-я-переходом, а не ный транзистор с />-/?-переходом) имеет
паспортную величину / Снач от 1 до 5 мА.
+и И все же эта схема привлекает своей
простотой двухвы водного устройства,
дающего постоянный ток. Существуют
дешевые серийные «диодные стабилиза­
торы тока», представляющие собой всего
лиш ь отобранные по току ПТ с р-п-пе­
реходом, у которых затвор соединен со
стоком. Это токовые аналоги стабилит­
рон ов (стаб и л и заторов н ап р яж ен и я).
Приведем характеристики таких прибо­
Рис. 3.16. ров из серии 1N5283-1N5314:
136 Глава 3

Таблица 3.4. Диодные стабилизаторы тока 11


Тип Полное сопро­

C
/ п, мА

Q 00

тивление,

^
МОм
(при 25 В) мин.
1N5283 0,22 25 1,0
1N5285 0,27 14 1,0
1N5287 0,33 6,6 1,0
1N5288 0,39 4,1 1,1
1N5290 0,47 2,7 1,1
а и,Ъ 1N5291 0,56 1,9 1,1
1N5293 0,68 1,4 1,2
1N5294 0,75 1,2 1,2
1N5295 0,82 1,0 1,3
1N5296 0,91 0,9 1,3
1N5297 1,0 0,8 1,4
1N5299 1,2 0,6 1,5
1N5302 1,5 0,5 1,6
1N5304 1,8 0,4 1,8
1N5305 2,0 0,4 1,9
1N5306 2,2 0,4 2,0
1N5308 2,7 0,3 2,2
1N5309 3,0 0,3 2,3
1N 5310 3,3 0,3 2,4
б U, В 1N5312 3,9 0,3 2,6
I N5314 4,7 0,2 2,9
Рис. 3.18. «Диод — регулятор тока» 1N5294. а — пол­ " Все диоды работают при напряжении; до 100 В и
ный масштаб изменения напряжения; 6 — начальный до 600 мВт и ведут себя подобно обратно включенным
участок. диодам.

Источник тока с автоматическим смеще­


нием. Вариация предыдущей схемы дает
Номинальный ток стабилизации от 0,22 до 4,7 мА регулируемый источник тока (рис. 3.19).
Допуск 10% Резистор автоматического смещ ения R
Температурный коэффициент + 0,4%/°С
Диапазон напряжений 1-2,5 В мин., задает обратное смещение затвора ICR,
100 В макс. уменьшая / с и приводя ПТ с «-перехо­
Стабильность тока 5% тип. дом в состояние, близкое к отсечке. Мож­
Динамическое (дифференциальное) 1 МОм (тип.) но рассчитать значение R по выходным
сопротивление для устройств характеристикам для конкретного ПТ.
с током 1 мА
Эта схема не только дает возможность
Мы построили график вольт-амперной устанавливать ток (который должен быть
характеристики устройства 1N5294, име­ меньш е / Снач), но и сделать это более
ющего номинальный ток стабилизации предсказуемым образом. Кроме того, эта
0,75 мА; рис. 3.18, с демонстрирует хоро­
шее постоянство тока вплоть до напряже­
ния пробоя (140 В для данного конкрет­
ного образца), тогда как из рис. 3.18, 6
видно, что полный ток данного устройства
достигается при падении напряжения на нем
К
несколько меньше 1,5 В. В разд. 5.13 мы
покажем, как можно использовать такого
рода устройство для создания генератора
пилообразного напряжения с острыми вер­
шинами сигнала. В табл. 3.4 дан непол­
ный перечень устройств серии 1N5283. Рис. 3.19.
Полевые транзисторы 137

схема является лучшим источником тока


(с более высоким динамическим сопро­
тивлением) в силу того, что истоковый
резистор обеспечивает обратную связь по
току (которую мы рассмотрим в разд. 4.07),
а также потому, что характеристики ПТ с
р-я-переходом как источника тока при
обратном смещении затвора всегда улуч­
шаются, как это видно из приведенных
на рис. 3.2 и 3.17 характеристик, где чем
ниже кривая зависимости /с от Um , тем
она ближе к горизонтали. Однако, к о ­
нечно, надо помнить, что значение / с,
полученное при каком-то значении Um для
данного конкретного ПТ, может отли­
чаться от взятого из характеристики на
значительную величину ввиду технологи­
ческого разброса. Если надо получить
строго заданный ток, то можно исполь­ Рис. 3.20. Каскодная схема «потребителя» тока на
зовать в цепи истока подстроечный ре­ ПТ с р-л-переходом.
зистор. ^СИнас^) > ^СИнас^)'
Упражнение 3.1. Подберите значение R для полу­
чения тока 1 мА в схеме источника тока на ПТ с р —п- Т2 ПТ с р —я-переходом с большим зна-
переходом 2N5484, используя полученные измерени­ 2
чением /гСнас, вклю ченны й «последова­
ями кривые, представленные на рис. 3.17. Теперь тельно» с источником тока. Он пропус­
оцените, к чему приводит тот факт, что паспортные кает постоянный ток стока Тх в нагрузку,
данные /с нач для 2N5484 имеют разброс от 1 до 5 мА.
удерживая в то же время напряжение на
Источник тока на ПТ с р - я-переходом, стоке Тх неизменным, а тем самым и н а­
даже с резистором в цепи истока, дает пряжение затвор—исток, что вынуждает Т2
несколько изменяющийся ток при изме­ работать с тем же током, что и Tv Т а­
нении напряжения, т. е. он имеет к о ­ ким образом, Т2 «экранирует» Г, от ко ­
нечное выходное сопротивление, а не лебаний напряжения на выходе; посколь­
ж елаемое б есконечн ое зн ач ен и е Z ebix. ку Т1 не подвержен вариациям напряже­
Кривые рис. 3.17 показывают, например, н и я с т о к а , он «си д и т н а м есте» и
что у транзистора 2N5484 при изменении обеспечивает постоянный ток. Если вер­
напряжения стока в рабочем диапазоне от нуться к схеме зеркала Вилсона (рис. 2.48),
5 до 20 В ток стока при замкнутых нако­ то мы увидим, что здесь используется та
ротко истоке и затворе (т. е. / с нач) изме­ же идея фиксации напряжения.
няется на 5%. Эту вариацию мож но Вы можете распознать в этой схеме на
уменьшить до 2% или около того, вклю­ ПТ с р-я-переходом «каскодную» схему,
чив в цепь истока резистор. Тот же при­ которая обычно используется для преодо­
ем, который был использован в схеме лен и я эф ф екта М иллера (разд. 2.19).
рис. 2.24, можно использовать и для ис­ Каскодная схема на ПТ с р -я-переходом
точников тока на ПТ с р —я-переходом, проще, чем на биполярных транзисторах,
как это и сделано на рис. 3.20. Идея (как поскольку здесь не требуется напряжения
и в случае с биполярными транзистора­ смещения на затворе верхнего ПТ: ввиду
ми) состоит в том, чтобы использовать того, что он работает в режиме с обедне­
второй ПТ с р —я-переходом для поддер­ нием, можно просто заземлить его затвор
жания постоянным напряжения сток—ис­ (сравните с рис. 2.74).
ток в источнике тока. Т{ в этом случае Упражнение 3.2. Объясните, почему верхний ПТ
является обычным источником тока на ПТ с р - я-переходом в каскодной схеме должен иметь
с р ~ п -переходом с истоковым резистором. более высокое значение L , , чем нижний ПТ. По-
138 Глава 3

мочь в этом может рассмотрение каскоднои схемы +игг


на ПТ с переходом без истокового резистора.
Важно осознавать, что источник тока на
хороших биполярных транзисторах обес­
печит намного лучшие предсказуемость и
стабильность, чем источник тока на ПТ с
^ —«-переходом. Более того, построенные Вход
на ОУ источники тока, которые мы уви­ Выход
дим в следующей главе, еще лучше. Н а­
пример, источник тока на ПТ в типич­
ном диапазоне температур и вариаций
напряжения нагрузки может давать ток с
отклонениями на 5%, даже если подгон­
кой истокового резистора установить же­
лаемый ток; в то же время источник тока может иметь очень большое сопротивле­
на ОУ из биполярных или полевых тран­ ние (свыше МОм), поскольку ток утечки
зисторов даст без особых усилий со сто­ затвора измеряется наноамперами.
роны разработчика предсказуемость и ста­ Крутизна. Отсутствие тока затвора де­
бильность лучше 0,5%. лает естественным параметром, характе­
ризующим усиление ПТ, крутизну - отно­
3.07. Усилители на ПТ шение выходного тока к входному напря­
жению:
Истоковые повторители и усилители на ПТ д = iвых'/и вх .
с общим истоком — это аналоги эмитгер-
ных повторителей и усилителей с общим Это отличается от того, как мы рассмат­
эмиттером на биполярных транзисторах, ривали биполярные транзисторы в пре­
о которых мы говорили в предыдущей гла­ дыдущей главе, где мы вначале носились
ве. Однако отсутствие постоянного тока с идеей усиления по току (/вых//'вх), а затем
затвора дает возможность получить очень ввели ориентированную на параметр кру­
высокое входное сопротивление. Такие тизны модель Эберса—Молла: полезно
усилители необходимы, когда мы имеем было посмотреть на биполярные транзис­
дело с высокоомными источниками сиг­ торы с разных сторон в зависимости от их
налов, встречающимися в измерительных применения.
схемах. Для некоторых специализирован­ Крутизна ПТ может быть оценена по
ных применений вы, может быть, захоти­ характеристике либо по тому, насколько
те построить повторители или усилители увеличивается / с при переходе от одной
на дискретных ПТ, однако в большинстве кривой с фиксированным значением на­
случаев можно использовать достоинства, пряжения затвора к другой из семейства
которыми обладают ОУ с ПТ-входом. кривых (рис. 3.2 или 3.17), либо, что про­
В любом случае стоит понять, как они ра­ ще, по наклону кривых «передаточных
ботают. характеристик» Ic — Um (рис. 3.14). Кру­
Когда мы имеем дело с ПТ, то обычно тизна зависит от тока стока (вскоре мы
применяется та же схема автоматического увидим как) и определяется просто как
смещения, что и в источниках тока на ПТ
с р-и-переходом (разд. 3.06) с одним ре­ Зт (/С)~ *с/МЗИ'
зистором смещения затвора, подключен­ (Напомним, что строчными латинскими
ным вторым выводом к земле (рис. 3.21); буквами обозначаются малосигнальные
для М ОП-транзисторов требуется дели­ приращ ения.) Из этого выражения мы
тель, питаемый от источника напряжения получаем коэффициент усиления по на­
стока, или расщепленный источник, как пряжению:
это было и в случае с биполярными тран­
зисторами. Резистор смещения затвора ~ мс / мзи — ~ 9т&с>
Полевые транзисторы 139

тот же результат, что и для биполярного (рис. 3.22). Не будем обращать внимания
транзистора в разд. 2.09, если заменить на детали смещения и сосредоточимся на
резистор нагрузки RK на Rc Как прави­ рассмотрении коэффициента усиления.
ло, крутизна ПТ равняется нескольким Биполярный транзистор имеет г,, равное
тысячам микросименс (мкСм) при токе 25 Ом, а следовательно, дт = 40 мСм и
стока в несколько миллиампер. Посколь­ коэффициент усиления по напряжению -
ку дтзависит от тока стока, существует 200 (что можно получить прямым рас­
некоторая нелинейность, связанная с за­ четом к ак — R K/ r 3). Т и п и ч н ы й ПТ с
висимостью коэффициента усиления от />-и-переходом (например 2N4220) имеет
изменения тока стока на протяжении пе­ дт порядка 2 мСм при токе стока 1 мА,
риода сигнала, подобно тому, как это бы­ давая коэффициент усиления по напря­
вает в усилителе с заземленным эмитте­ жению порядка —10. Это сравнение выгля­
ром, где дт = 1/г э пропорциональна / с. дит обескураживающим. Малая дт дает
Кроме того, ПТ в общем имеют значи­ также относительно высокое Z... в схеме
тельно меньшую крутизну, чем биполяр­ повторителя (рис. 3.23): ПТ с р —«-пере­
ные транзисторы, что делает их менее ходом имеет ZBbix = 1/д т, что в данном
подходящими для построения усилителей случае эквивалентно 500 Ом (независимо
и повторителей. Рассмотрим это немно­ от сопротивления источника сигнала); в
го подробнее. сравнении с этим биполярный транзис­
Сравнение крутизны ПТ и биполярных тор имеет ZBb]x = R J h m + гэ = R J h m +
транзисторов. Чтобы перевести наше по­ + 1/дт, равное R /п 2ХЭ+ 25 Ом (при 1 мА).
следнее замечание в числа, рассмотрим Для типичного оета-биполярного транзи­
ПТ с р—я-переходом и биполярный тран­ стора, скажем Rc/h m = 100, и при разум­
зистор, каждый с рабочим током 1 мА. ных значениях сопротивления источника
Представим, что они включены как уси­ сигнала, скажем при Rc < 5 кОм, бипо­
лители с общим истоком (эмиттером), а лярны й повторитель на порядок лучше
сток (коллектор) через резистор 5 кОм (ZBbix равно 25—75 Ом). Отметим, однако,
подключен к источнику питания +10 В что при Л > 50 кОм повторитель на ПТ с
+10В -я-переходом будет лучше.
Чтобы видеть, что происходит, вернем­
с П { 1м А ся к выражениям зависимости тока сто­
5к0мМТ ка ПТ от напряж ения затв о р -и сто к и
((__5В_
сравним с эквивалентны м уравнением
(Эберса—Молла) зависимости тока кол­
С лектора биполярного транзистора от н а­
пряжения база—эмиттер.

Г й
Б и п ол ярн ы й тран зи стор (уравнение
Эберса—Молла):
/ к = 1с[ехр((/БЭ/ UT) - 1],
+10В где ит = kT /q = 25 мВ, что дает gm = d I J
dUb3 = I J U j для коллекторного тока,
большого в сравнении с током «утечки»
/ . Это уже знакомый нам результат -
гэ (Ом) = 2 5 //к(мА), поскольку дт = 1/г э.
Полевой транзистор: в «субпороговой»
области он имеет очень малый ток стока
/ с ~ е х р ( г /зи),
что, будучи экспоненциальным подоби­
ем уравнения Эберса-М олла, также дает
Рис. 3.22. пропорциональную зависимость крутиз­
140 Глава 3

реходом (а) и биполярном транзисторе (б ).

ны от тока. Однако для наблюдающихся счет увеличения отношения ширины ка­


в реальности значений к (который зависит нала к его длине) увеличивает крутизну
от геометрии ПТ, подвижности носителей (и ток стока при данном значении £/зи) в
и т. п.) крутизна ПТ несколько ниже, надпороговой области, но все равно кру­
чем у биполярного транзистора, - около тизна остается меньше, чем у биполяр­
I/ 40 мВ для /^-канального М ОП-транзис- ного транзистора при том же токе.
тора и около I/ 60 мВ для и-канального Упражнение 3.3. Выведите предыдущие выраже­
МОП-транзистора, тогда как у биполяр­ ния для gn, взяв производную /вых относительно Um.
ных транзисторов она равна I/ 25 мВ. По
мере увеличения тока ПТ входит в нор­ Проблему низкого коэффициента уси­
мальную область «насыщения», где ления в усилителях на ПТ можно разре­
шить, обратившись к нагрузке в виде ис­
Ic = k(U 3„ - U Ty. точника тока (активной), однако вновь
что дает дт = 2(klc ) 1/2. Это означает, что биполярный транзистор будет лучше в той
крутизна растет пропорционально лиш ь же схеме. По этой причине редко можно
корню квадратному из /с и становится видеть ПТ в схемах простых усилителей,
намного меньше крутизны биполярного если только не нужно использовать их
транзистора при тех же значениях рабо­ уникальные входные параметры (исклю­
чего тока (см. рис. 3.24). Увеличение по­ чительно высокое входное сопротивление
стоянной к в предыдущих уравнениях (за и малый входной ток).
Обратите внимание на то, что крутизна
ПТ в области насы щ ения пропорц и о­
нальна Um — и г- так, например, ПТ с р-п-
переходом, на затвор которого подано
напряжение, равное половине напряжения
отсечки, имеет крутизну примерно впо­
ловину меньше, чем приведенная в пас­
порте (где она всегда дается при /с = /Снач,
т. е. при Um = 0).
Дифференциальные усилители. Можно
использовать согласованные пары ПТ для
построения входных каскадов с высоким
полным входным сопротивлением бипо­
Рис. 3.24. Сравнение дтбиполярных и полевых тран­ лярных дифференциальных усилителей, а
зисторов. 1 - биполярный транзистор; 2 —р-канальный также играющих важную роль ОУ и ком­
МОП-транзистор; 3 - л-канальный МОП-транзистор. параторов, которые мы встретим в еле-
Полевые транзисторы 141

дующей главе. Как отмечалось выше, зна­ 3.08. Истоковые повторители


чительный разброс Um у ПТ приведет,
вообще говоря, к большим значениям Ввиду относительно малой крутизны ПТ
входного напряжения сдвига и его дрей­ часто предпочитают использовать постро­
фа, чем у аналогичного усилителя, пост­ енный на ПТ «истоковый повторитель»
роенного исключительно на биполярных (являющийся аналогом эмитгерного по­
транзисторах; зато входное полное сопро­ вторителя) в качестве входного буферно­
тивление колоссально возрастет. го каскада для усилителя на обычных
Генераторы. Вообще говоря, ПТ по сво­ биполярны х транзисторах, вместо того
им характеристикам могут быть хорошей чтобы пытаться прямо изготовить усили­
заменой биполярных транзисторов почти тель на ПТ с общим истоком. При этом
в любой схеме, которая выигрывает бла­ сохраняются высокое входное сопротив­
годаря их уникально высокому полному ление и нулевой постоянный входной ток
входному сопротивлению и малому вход­ ПТ, а больш ая крутизна биполярного
ному току смещения. Примерами таких транзистора позволяет получить большой
схем являются высокостабильные L С-ге­ коэффициент усиления в одном каскаде.
нераторы и кварцевые генераторы, ко ­ Кроме того, у дискретных П Т (т. е. не
торые мы представим в разд. 5.18, 5.19 и являющихся частью интегральной схемы)
13.11. межэлектродные емкости выше, чем у би­
Активная нагрузка. Так же как и для полярных транзисторов, вследствие чего
усилителей на биполярных транзисторах, в усилителях с общим истоком более силь­
в усилителе на ПТ можно заменить рези­ но проявляется эффект Миллера (разд.
стор нагрузки стока активной нагрузкой, 2.19); в схеме истокового повторителя, как
т. е. источником тока. При этом мож­ и в эм иттерном п овторителе, эф ф ект
но получить очень большой коэф ф ици­ Миллера отсутствует.
ент усиления по напряжению: Повторители на ПТ с их высоким пол­
К.,(J = — 3
д т RrС
ным входным сопротивлением обычно
(резистор нагрузки стока), применяются как входные каскады в ос­
циллографах и других измерительных при­
К,и = —д
борах. Во многих случаях высокое пол­
3тRnО ное сопротивление бывает неотъемлемой
(источник тока),
особенностью источника сигнала, как,
где Rb —полное сопротивление в цепи сто­ например, у конденсаторных микрофо­
ка, обычно лежащее в диапазоне значе­ нов, /;//-метров, детекторов заряженных
ний от 100 кОм до 1 МОм. частиц или микроэлектродов для снятия
Одним из вариантов активной нагрузки сигналов с живых объектов в биологии и
является токовое зеркало, включенное в медицине; во всех этих случаях полезен
качестве нагрузки стока в дифференци­ входной каскад на ПТ (дискретных или в
альном каскаде на ПТ (см. разд. 2.18); составе интегральной схемы). В схемо­
эта схема, однако, не обеспечивает ста­ технике встречаются случаи, когда и пос­
бильного смещ ения, если не охватить ее ледующий каскад должен иметь малый
общей цепью обратной связи. Токовое входной ток или вообще его не иметь.
зеркало можно построить как на ПТ, так Примеры тому - схемы «слежения и хра­
и на биполярны х транзисторах. Часто нения» и пиковые детекторы, в которых
это схемное решение применяется в ОУ конденсатор, запоминающий уровень н а­
на ПТ, которые мы увидим в следующей пряжения, «сбросится», если вход после­
главе. Другой прекрасный пример при­ дующего усилителя проводит слиш ком
менения метода активной нагрузки вы большой ток. Во всех этих случаях пре­
увидите в разд. 3.14, когда мы будем рас­ небрежимо малый входной ток ПТ явля­
см атри вать л и н е й н ы й у си л и тел ь на ется более важной характеристикой, чем
КМОП-транзисторах. его малая крутизна, что делает истоковый
повторитель (или даже усилитель с общим
142 Глава 3

У данной схемы два недостатка:


1. Относительно большое выходное пол­
ное сопротивление означает, что амплитуда

£ +Urr выходного сигнала может быть значитель­


но меньше, чем амплитуда входного, даже
при высоком полном сопротивлении на­
грузки, так как любое RHобразует в соче­
тании с выходным сопротивлением исто­
ка делитель. Кроме того, так как ток сто­

!■ Ф■
-i.
ка м ен яется на п ротяж ен и и п ери ода
сигнала, поэтому дт и вместе с ней выход­
ное полное сопротивление будут изменять­
Рис. 3.25. Рис. 3.26. ся, внося в выходной сигнал некоторую
нелинейность (искажения). Эту ситуацию
истоком) весьма выгодной заменой эмит­ можно улучшить, используя ПТ с боль­
терного повторителя на биполярных тран­ шой крутизной, но лучшим решением яв­
зисторах. ляется комбинированный (ПТ биполярный
На рис. 3.25 показан простейш ий ис- транзистор) повторитель.
токовый повторитель. Мы можем вы ­ 2. Так как величина Um , необходимая
разить амплитуду выходного сигнала, как для зад ан и я о п ред ел ен н ого рабочего
делали это для эмиттерного повторите­ то к а , — трудно ко н тр о л и р у ем ы й при
ля в разд. 2.11, через крутизну. Имеем: изготовлении параметр, то истоковы й
ми = RHic, так как г3 пренебрежимо мал; повторитель имеет непредсказуемое см е­
при этом, поскольку / = д ит = дт(щ - шение по постоянному току - серьезный
и ), то ми = [RHg J ( 1 + К а т)\и у При минус при использовании в схемах со
R„ ~ ч 9 тмы имеем хороший повторитель связями по постоянному току.
(ми « и3) с коэф ф ициентом усиления, Активная нагрузка. Путем добавления
близким к единице, хотя всегда меньше нескольких элементов истоковый повто­
единицы. ритель может быть очень сильно улучшен,
Выходное сопротивление. Предыдущую Рассмотрим это поэтапно.
формулу для ии можно было бы считать В о-первых, зам еним RH источником
не приближенным, а точным выражени­ тока (отбирающим ток, рис. 3.27). П о­
ем, если бы выходное сопротивление ис- стоянный ток истока стабилизирует на­
токового повторителя было равно 1/gm, пряжение Um , а это устраняет нелиней­
(попробуйте произвести соответствующие ности. Для простоты можно считать, что
расчеты, рассматривая напряжение источ­ значение R, становится бесконечным —
ника как источник, который, будучи вклю­ +и„ +и„
чен последовательно с 1/дт, работает на
нагрузку R J. Это точный аналог ситуа­
ции с эмиттерным повторителем, у кото­ или (лучше)
рого выходное полное сопротивление рав­ - i
но гэ = 25/1К или \/д т. Легко показать,
что истоковый повторитель имеет полное
выходное сопротивление 1/дт, определив
ток истока при сигнале, приложенном
к выходу п ри за з е м л е н н о м затв о р е
(рис. 3.26). Ток стока в этом случае равен
'с = 9тизи = дя и, а гшх = u/ic = \/gm. Обычно
гВЫХ составляет несколько сот ом при токах
в несколько миллиампер. Как легко ви­
деть, истоковые повторители не столь со­
вершенны, как эмиттерные повторители. Рис. 3.27.
Полевые транзисторы 143

эффект, создаваемый источником тока в


качестве нагрузки. Схема на рис. 3.27, 6
имеет еще одно преимущество в виде ма­
лого выходного сопротивления при со­
хранении приближенного постоянства
тока истока £/БЭ/Л см. По-прежнему, прав­
да, существует проблема непредсказуе­
мого (а потому ненулевого) напряж ения Вход
смещения от входа к выходу UM (для схе­
Выход
мы 3.27, 6 - и ш + и ъэ). М ожно было
бы, конечно, просто отрегулировать /см
к значению / Снач для конкретного ПТ
в схеме 3.27, а или отрегулировать также
Лсм на схеме 3.27, 6. Но это решение плохо
по двум причинам: а) требуется индиви­
дуальная регулировка для каждого ПТ;
б) даже и при этом / с может сильно ме­
няться (почти двукратно) при изменении Рис. 3.28. Рис. 3.29.
температуры в рабочем диапазоне при дан­
ном и ш . получения низкого полного выходного
В более качественных схемах приме­ сопротивления. Тот же выходной сигнал
няются согласованные пары ПТ с нуле­ можно затем использовать для запитки
вым смещением (рис. 3.28). Tt и Тг — это внутреннего «защитного» экрана, эф ф ек­
согласованная пара на отдельном крем­ тивно понижающего влияние емкости эк­
ниевом кристалле. Т2 отбирает ток, точно ранированного кабеля, которая в против­
отвечающий условию ищ = 0, поэтому, ном случае катастрофически ухудшила бы
так как для обоих ПТ и ш = 0, 7j есть параметры схемы с высоким сопротивле­
повторитель с нулевым смещением. Так нием источника сигналов и свела бы на
как оба ПТ находятся в одних и тех же нет большое полное входное сопротивле­
температурных условиях, смещение ос­ ние, свойственное буферному усилителю.
тается почти нулевым при любой темпе­
ратуре. 3.09. Ток затвора ПТ
Обычно в предыдущей схеме добавля­
ют небольш ие и стоковы е рези сторы Мы уже говорили вначале, что ПТ во­
(рис. 3.29). Если чуть подумать, то будет обще и М ОП-транзисторы в особенности
ясно, что резистор Rl необходим, а ра­ имеют практически нулевой ток затвора.
венство Rt = R2 гарантирует, что (/вых = Это, возможно, наиболее важное свойство
= £/х, если Тх и Т2 согласованы. Эта мо­ ПТ и оно использовалось в описанных в
дификация схемы улучшает предсказуе­ предыдущем разделе высокоомных усили­
мость /с, позволяет установить значение телях и повторителях. Существенным оно
тока стока, отличное от / Снач и улучшает будет и в тех применениях, о которых речь
линейность, поскольку ПТ как источник впереди - самые существенные из них
тока работает лучше при значениях ра­ аналоговые ключи и цифровые логичес­
бочего тока,’ меньших 1Г С нач
. Такой по- кие схемы.
вторитель широко применяется в качестве Разумеется, при пристальном рассмот­
входного каскада усилителя вертикально­ рении мы увидим, что какой-то ток через
го отклонения осциллографа. затвор все же течет. Это важно знать,
Чтобы «выжать» из схемы все возмож­ поскольку наивная модель с нулевым то­
ное, можно добавить в нее цепь следящей ком гарантирует, что раньше или позже,
обратной связи со стока (чтобы скомпен­ но вы ошибетесь. Фактически к возник­
сировать входную емкость) и выходной новению конечного (ненулевого) тока
каскад на биполярном транзисторе для затвора приводит ряд механизмов. Даже
144 Глава 3

у М ОП-транзисторов изоляция затвора и каналом (исток и сток закорочены), при


(двуокись кремния) несоверш енна, что котором ток затвора достигает 1 мкА. При
приводит к токам утечки, находящимся меньших напряжениях затвор—канал ток
в п и коам п ерн ом д и ап азон е. У П Т с утечки затвора / 3 , опять-таки при соеди­
р —«-переходом «изоляция» затвора на са­ ненных накоротко истоке и стоке, значи­
мом деле является обратносмещенным ди­ тельно меньше, и этот ток быстро падает
одным переходом и механизмы тока утеч­ до пикоамперного диапазона, когда на­
ки через него те же, что и у обычного ди­ пряжение затвор—сток существенно мень­
ода. Кроме того, ПТ с р —«-переходом ше напряжения пробоя. У М ОП-транзи-
(«-канальные в особенности) подвержены сторов никогда нельзя допускать пробоя
дополнительному эффекту, известному как изоляции затвора; в данном случае утечка
ток «ударной ионизации» затвора; он мо­ затвора определяется как некоторый мак­
жет достигать астрономических уровней. симальный ток утечки при определенном
И наконец, как ПТ с р —я-переходом, так заданном в спецификации напряжении
и МОП-транзисторы имеют динамический затвор—канал. В интегральных усилитель­
ток затвора, возникающий при воздействии ных схемах на ПТ (например, в ОУ на ПТ)
сигналов переменного тока на емкость зат­ для спецификации входного тока утечки при­
вора; это может вызвать эффект Миллера, меняется не дающий правильного представ­
совсем как у биполярных транзисторов. ления о сути дела «входной ток смещения»
В большинстве случаев входной ток зат­ /см; обычно его величина лежит в пикоам­
вора пренебрежимо мал в сравнении с перном диапазоне.
током базы биполярного транзистора. Хорошо здесь то, что ток утечки нахо­
Есть, однако, ситуации, когда ПТ может дится в пикоамперном диапазоне при ком­
фактически иметь более высокий входной натной температуре. Плохо, что он быс­
ток! Рассмотрим ряд из них. тро нарастает (фактически экспоненци­
Утечка затвора. Полное входное напря­ ал ьн о ) с ростом тем п ературы , грубо
жение усилителя (или повторителя) на ПТ говоря уд ваи вается на каж ды е 10°С.
на низких частотах ограничено утечкой В противоположность этому ток утечки
затвора. В паспорте ПТ обычно указы­ базы у биполярного транзистора практи­
вается напряжение пробоя U} макс, опре­ чески отсутствует, в действительности
деляемое как напряжение между затвором имеется даже слабая тенденция к его

Рис. 3.30. Входной ток усилителя на


ПТ — это ток утечки затвора, кото­
рый удваивается при повышении
TeMneDaTVDa. °С темпеоатушл на каждые 10°С.
Полевые транзисторы 145

уменьшению с ростом температуры. На


рис. 3.30 даны в сравнении графики за­
висимости входного тока от температу­
ры для нескольких операционных усили­
телей в интегральном исполнении. ОУ с
ПТ-входом имеют наименьшие значения
входного тока при комнатной темпера­
туре (и ниже), однако их входной ток
быстро растет с температурой, и их гра­
фики пересекают кривые усилителей с
хорошо спроектированными входными
каскадами на биполярных транзисторах,
таких как LM11 и LT1012. Эти биполяр­
ные ОУ наряду с «призерами» среди ОУ
на ПТ с «-переходом по минимуму
входного тока, таким и как О РА 111 и
AD549, весьма дороги. При этом, чтобы
дать представление о том, чего можно
ожидать от недорогих (ценой меньше дол­
лара) ОУ, мы включили сюда также и
ОУ, являющиеся повседневной «похлеб­
кой», такие как биполярный ОУ типа 358 Рис. 3.31. Утечка затвора ПТ с р~я-переходом бы­
и ОУ на ПТ с /7-л-переходом LF411. стро растет с ростом напряжения сток—затвор и про­
Ток ударной ионизации ПТ с ^-«-перехо­ порциональна току стока.
дом. В дополнение к обычным эффектам
утечки затв о р а в « -к а н а л ь н ы х ПТ вую очередь я-кан альны м ПТ и п рояв­
с р —«-переходом в гораздо большей сте­ ляется он при повы ш ении напряж ения
пени проявляются токи утечки при работе с т о к -з а т в о р . П роблема допускает н е­
с существенными уровнями U(M и /с (ток сколько решений: а) работайте при ма­
утечки, оговариваемый в паспорте, изме­ лых напряжениях сток-затвор, либо при
ряется при совершенно нереальных усло­ малом напряжении питания стока, либо
виях £/си = /с = 0!). Рис. 3.31 показывает, используйте каскодные связи; б) исполь­
что происходит. Ток утечки затвора оста­ зуйте p -канальные ПТ с р —«-переходом,
ется близким к / 3 до тех пор, пока мы не у которых этот эффект намного слабее или
достигнем критического напряжения сто к - в) применяйте М ОП-транзисторы. Самое
затвор, при котором кривая круто взмы­ главное, что позволит вам избежать не­
вает вверх. Этот дополнительны й ток приятностей, — это не дать возможности
«ударной ионизации» пропорционален току захватить вас врасплох.
стока и он растет экспоненциально с рос­ Динамический ток затвора. Утечка зат­
том напряжения и температуры. Появле­ вора - это эффект, проявляющийся на
ние этого тока наблюдается при напряже­ постоянном токе. Любой сигнал, подан­
нии сток-затвор, составляющем прибли­ ный на затвор, неминуемо вызовет также
зи тельно 25% от </3макс, и он мож ет переменный ток благодаря наличию ем­
добавлять в ток затвора микроампер и бо­ кости затвора. Рассмотрим усилитель с
лее. Очевидно, что «высокоомный буфер» общим истоком. Как и в схеме на бипо­
с микроамперным входным током лишен лярных транзисторах, можно наблюдать
смысла. Это то, что получится, если по­ эффект, вызванный просто емкостью вхо­
пытаться использовать 2N4868A в качестве да относительно земли ( С х), но есть еще
повторителя с током стока 1 мА при на­ мультипликативный емкостной эффект
пряжении питания 40 В. Миллера, который влияет на емкость об­
Этот дополнительный ток утечки затвора ратной связи (Сос). Есть две причины,
есть недостаток, свойственны й в пер- почему емкостной эффект проявляется
146 Глава 3

у ПТ более серьезно, чем у биполярных +5 0 В


т р а н зи с т о р о в . В о -п е р в ы х , п олевы м КМОП-вентиль
транзисторам отдают предпочтение пе­ Н агрузка
ред биполярными, когда хотят получить
/?„ * 5 0 0 0м
очень малый входной ток; при этом ем ­
костные токи при тех же величинах ем­
костей принимают более угрожающие раз­
меры. Во-вторых, полевые транзисторы
часто имеют значительно более высокие
значения емкостей, чем эквивалентные
биполярные.
Чтобы оценить емкостный эффект, рас­
смотрим усилитель на ПТ, предназначен­
ный для работы с источником сигнала,
имеющим сопротивление 100 кОм. Что
касается постоянного тока, то здесь нет переключения на неспешные 20 мкс. Но
проблем, так как ток, равный пикоампе­ что еще хуже, динамические токи затвора
ру, создает на внутреннем сопротивлении (i3 = CdUc /dt) могут проходить на выход
указанного источника падение напряже­ логического устройства и вывести его из
ния всего в микровольт. Однако на час­ строя благодаря непредсказуемым обра­
тоте, скажем, 1 МГц входная емкость в зом возникающему эффекту, известному
5 пФ создает шунтирующее полное сопро­ как «защелкивание кремниевой полупро­
тивление приблизительно 30 кОм, что водниковой структуры» (более подробно
серьезно ослабляет сигнал. Фактически о нем в гл. 8 и 9). При этом оказывается,
любой усилитель попадает в неприятнос­ что мощные биполярные транзисторы име­
ти, имея дело с высокоомным источни­ ют сравнимые с ПТ величины емкостей
ком сигналов на высоких частотах, и и, следовательно, сравнимые динамичес­
обычное решение состоит в том, чтобы кие входные токи; однако когда вы проек­
работать с низким полным сопротивлени­ тируете схему возбуждения мощного би­
ем (типичное значение 50 Ом) или ис­ полярного 10-амперного транзистора, вы
пользовать подстраиваемый 1 C-контур для заранее знаете, что в цепи возбуждения
резонансной ком пенсации паразитной базы нужно обеспечить ток 500 мА или
емкости. Ключ к пониманию проблемы около того (через пару Дарлингтона или
состоит в том, чтобы не см отреть на еще каким-либо образом), в то время как
ПТ-усилитель как на нагрузку сопротивле­ у ПТ вы скорее всего будете ожидать га­
нием 1012 Ом на частоте сигнала. р а н т и р о в а н н о н и з к и й в х о д н о й то к.
В качестве еще одного примера пред­ И вновь в этом примере несколько потуск­
ставим себе переклю чение 10-ам п ер ­ нел блеск ПТ как прибора со сверхвысо­
н ой н агр у зки с п о м о щ ью м о щ н о го ким полным сопротивлением.
МОП-транзистора (сколько-нибудь мощ­ Упражнение 3.4. Покажите, что схема на рис. 3.32
ные ПТ с ^-«-переходом отсутствуют), в переключается за время около 20 мкс, в предполо­
духе рис. 3.32. Кто-то может наивно пред­ жении, что допустимый ток возбуждения затвора
составляет 1 мА.
положить, что затвор можно возбудить от
слаботочного выходного сигнала цифро­
вой логической схемы, например, от так 3.10. ПТ в качестве переменных
называемой КМ ОП-логики, которая спо­ резисторов
собна выдать ток порядка 1 мА при раз­
махе сигнала от нуля до +10 В. На са­ На рис. 3.17 показаны характеристики
мом деле такая схема тут же вышла бы из ПТ с р —я-переходом (зависимость тока
строя, так как при токе возбуждения зат­ стока от £/си при различных Um ) как в
вора 1 мА емкость 350 пФ обр. связи нормальном («насыщенном») режиме, так
транзистора 2N6763 растянула бы процесс и в «линейной» области малых значений
Полевые транзисторы 147

напряжения сток-исток. В начале этой ется от нескольких десятков ом (даже от


главы мы привели также эквивалентную 0,1 Ом для мощных МОП-транзисторов)
пару графиков для М О П-транзисторов до бесконечности. Типичным примене­
(рис. 3.2). Зависимость /с - UCH прибли­ нием ПТ в качестве сопротивления явля­
зительно линейна в области £LH, мень­ ется использование его в схеме автомати­
ших Um - Un, и кривые могут быть про­ ческой регулировки усиления (АРУ); в ней
должены в обе стороны, так что устрой­ коэффициент усиления меняется с помо­
ство мож но и сп ользовать в качестве щью обратной связи таким образом, что­
управляемого напряжением резистора для бы выходной сигнал удерживался в гра­
малых сигналов любой полярности. Из ницах линейного диапазона. Применяя
формулы, выражающей /с через Um в ли ­ ПТ в схеме АРУ, следует внимательно
нейной области (разд. 3.04) легко найти, следить, чтобы амплитуда сигнала была
что отнош ение Ic /U m равно 1/Л си = невелика — не более 200 мВ.
-2 k[(U m — Un) — UCJ 2 ], Последний член Диапазон значений в котором ПТ
в этом выражении представляет собой не­ ведет себя как хорошии резистор, зави­
линейность, т. е. отклонение от резис- сит от конкретного ПТ, у которого со­
тивности характеристики (сопротивление противление в первом приближении про­
резистора не должно зависеть от напря­ порционально напряжению, на которое по­
жения). Однако при напряжениях стока тенциал затвора превосходит Un (или U ).
существенно меньших напряжения отсеч­ К ак правило, при и си < 0,1({/зи - и п)
ки (при и си-> 0) этот последний член н ел и н ей н о сти составляю т 2 %, а при
становится совершенно незначимым, и иси * 0,25 (i/3H — IL) возможны нелиней­
ПТ ведет себя приблизительно как линей­ ности порядка 10%. Согласованные пары
ное сопротивление Лси » 1/[2k(U m - ПТ дают возможность строить наборы
- £/п)]. Поскольку зависящий от конк­ сопротивлений для управления сразу не­
ретного устройства параметр к — не та сколькими сигналами. ПТ с р —я-перехом
количественная характеристика, которую для работы в качестве переменных резис­
нам хотелось бы знать, полезнее записать торов (серия VCR Siliconix) имеют допуск
Лси s Ч им ~ Un) № ~ где сопротив­ по сопротивлению порядка 30%, задан­
ление лси при любом напряжении затво­ ный при некотором значении Um .
ра можно определить через известное со­ Можно улучшить линейность и одновре­
противление R0, измеренное при некото­ менно расширить диапазон f/CH, в кото­
ром напряжении затвора U^. ром ПТ ведет себя как резистор, с помо­
Упражнение 3.5. Выведите предыдущую «масш­
щью простой компенсационной схемы.
табную» формулу. Проиллюстрируем это на практическом
примере.
Обе приведенные выше формулы пока­ Метод линеаризации: электронное уп­
зывают, что проводимость (равная 1/ й си) равление усилением. Из последней форму­
пропорциональна величине, на которую лы для 1/ ^ . и видно, что линейность была
напряжение затвора превышает напряжение бы почти идеальной, если бы к напряже­
отсечки. Другой полезный факт состоит в нию затвора мы добавили половину на­
том, что /^,и = 1/дт, т. е. сопротивление пряжения сток—исток. На рис. 3.33 по­
канала в линейной области есть величина, казаны две схемы, которые именно это и
обратная крутизне в области насыщения. делают. В первой из них ПТ с р—«-пере­
Это удобная в пользовании зависимость, ходом образует нижнее плечо резистив­
поскольку дт - параметр, который почти ного делителя напряжения, формируя тем
всегда приводится в паспорте ПТ. самым управляемый напряжением аттеню­
Упражнение 3.6. Покажите, что = 1/дт, выве­ атор (или «регулятор громкости»). Рези­
дя крутизну из приведенной в разд. 3.04 формулы сторы /?, и R2 улучшают линейность до­
для тока стока в области насыщения. бавлением напряжения 0,5 UCM к Um , как
Как правило, сопротивление, которое только что говорилось. Показанный на
можно получить с помощью ПТ, изменя­ схеме ПТ с jp-я-переходом имеет в про-
148 Глава 3

<1 В стое смещение. За счет применения раз­


делительного конденсатора мы организо­
вали схему таким образом, что ПТ воз­
действует только на коэффициент усиле­
ния по переменному току (на усиление
сигнала). Без этого конденсатора смеще­
ние биполярного транзистора изменялось
бы с изменением сопротивления ПТ.
Упражнение 3.7. МОП-транзистор VN13 имеет в
проводящем состоянии ((/зи = + 5 В) сопротивле­
ние 15 Ом (макс). Чему равен диапазон изменения
коэффициента усиления усилителя во второй схеме
(в предположении, что источник тока ведет себя как
сопротивление 1 МОм)? Какова нижняя частота среза
(на уровне 3 дБ) при таком смещении ПТ, что ко­
эффициент усиления усилителя равен а) 40 дБ и
б) 20 дБ?
Линеаризация /?си при помощи резис­
тивного делителя напряж ения затвора,
представленная выше, исключительно эф ­
фективна. На рис. 3.34 приведены для
сравнения полученные путем измерений
графики зависимости /с от иси в линей­
ной (с низким UCVi) области характерис­
тик ПТ при наличии и в отсутствие схе­
мы линеаризации. Такая линеаризующая
схема особенно важна для тех применений,
где требуются малые искажения при раз­
махе сигнала свыше нескольких милли­
вольт.
Рис. 3.33. Применяя ПТ для регулировки усиле­
ния, а именно в схемах АРУ или модуля­
торов, т. е. устройств, в которых ампли­
водящем состоянии (при заземленном зат­
туда высокочастотного сигнала меняется
воре) сопротивление 60 Ом (максимум), пропорционально сигналу звуковой час­
что дает диапазон ослабления сигнала от тоты, есть смысл обратиться также к ИМ С
0 до 40 дБ.
«аналогового умножителя». Это — высо­
Во второй схеме используется М ОП- коточные устройства с хорошим динами­
транзистор в качестве перестраиваемого ческим диапазоном, обычно применяют­
эмиттерного сопротивления в усилителе ся для получения произведения двух на­
переменного тока с эмиттерной обратной
пряжений. Один из этих сомножителей
связью. Обратите внимание на то, что по может быть управляющим сигналом по­
постоянному току эмиттерная обратная стоянного тока, устанавливающим масш­
связь обеспечивается источником стабиль­ табный множитель для второго входного
ного тока (зеркало Вилсона или диодный
сигнала, т. е. коэф ф и ци ен т усиления.
стабилизатор тока на ПТ); эта часть схе­ В аналоговом умножителе используется
мы несет две нагрузки: а) она ведет себя зависимость дт от / к, свойственная бипо­
на частоте сигнала как цепь с очень высо­ лярному транзистору (дт = [/к (мА)/ /25]
ким полным сопротивлением, что позво­
См), и применяются группы согласован­
ляет ПТ с перестраиваемым сопротивле­ ных транзисторов, чтобы избежать про­
нием задавать коэф ф ициент усиления,
блем разброса параметров и сдвига. На
изменяю щ ийся в ш ироком диапазоне очень высоких частотах (100 МГц и выше)
(включая Кц « 1), и б) обеспечивает про­
часто для этой же цели лучше использо-
Полевые транзисторы 149

U,„в

VB
Рис. 3.34. Измеренные зависимости /с ( У( и) для отдельно взятых ПТ (слева) и ПТ со схемами линеаризации
(справа), а - ПТ с р-я-переходом 2N5484; 6 - МОП-транзистор VN0106.

вать простые пассивные «балансные сме­ сигнала. Они попадают в перечень наи­
сители» (разд. 13.12). более важных применений ПТ, и в них
Важно помнить, что ПТ в смысле про­ используются те преимущества, которые
водимости ведет себя при малых напря­ даю т уникальны е характеристики ПТ:
жениях UCVI как линейное сопротивление, высокое полное сопротивление затвора и
а не как источник тока, что характерно резистивны й характер проводимости в
для коллектора биполярного транзистора, обоих направлениях, четко просматрива­
и он работает как сопротивление во всем ющийся вплоть до напряжения О В. На
диапазоне до О В между истоком и сто­ практике обычно используют М ОП-тран­
ком (здесь нет ни диодных перепадов, ни зисторные интегральные микросхемы (а
чего-нибудь в этом роде, о чем стоило бы не схемы на дискретных транзисторах) во
беспокоиться). Существуют ОУ и семей­ всех цифровых и линейных ключах, и толь­
ства логических элементов (К М О П ), в ко для мощных ключей дискретные ПТ
которых используется это полезное свой­ предпочтительнее. Однако и в этих слу­
ство, так что насыщение на выходе у этих чаях важно (и интересно!) понимать, как
схем наступает именно на уровне напря­ работают эти чипы; в противном случае
жения питания. вы почти гарантированы пасть жертвой
какого-нибудь загадочного ненормально­
КЛЮЧИ НА ПОЛЕВЫХ ТРАНЗИСТОРАХ го поведения схемы.

Две первые схемы на ПТ, которые в каче­ 3.11. Аналоговые ключи на ПТ


стве примера мы привели в начале этой
главы, были ключами: схема логического Очень часто ПТ, в основном М ОП-тран-
ключа и схема переключателя линейного зисторы, применяются в качестве анало-
150 Глава 3

зистор для получения уровней, со о т­


ветствующих полному диапазону пита­
ния) или даже ОУ: вполне годится ± 13 В
с выхода схемы 741, так как напряжение
пробоя затвора М ОП-транзистора обыч­
но равно 20 В или более. Обратное сме­
щение затвора при отрицательных значе­
ниях выхода ОУ будет давать дополни­
тельн ое преим ущ ество — мож но
переключать сигналы любой полярности,
Рис. 3.35.
как опиш ем позже. Заметим, что ключ
говых ключей. В силу таких свойств, как на ПТ - двунаправленное устройство,
малое сопротивление в проводящем со­ т. е. он может пропускать сигнал в обе
стоянии («ВКЛ») при любом напряжении стороны. Это легко понять, так как ме­
сигнала вплоть до 0 В, крайне высокое ханический выключатель тоже обладает
со п р о ти вл ен и е в со с то ян и и отсечки этим свойством.
(«ВЫКЛ»), малые токи утечки и малая Приведенная схема будет работать при
емкость, они являются идеальными клю­ положительных сигналах, не выше 10 В;
чами, управляемыми напряжением, для при более высоком уровне сигнала напря­
аналоговых сигналов. Идеальный анало­ жение на затворе будет недостаточным,
говый (или линейный) ключ ведет себя чтобы удержать ПТ в состоянии проводи­
как совершенный механический выклю­ мости (Лвкл начинает расти); отрицатель­
чатель: во включенном состоянии пропус­ ные сигналы вызовут включение ПТ при
кает сигнал к нагрузке без ослаблений или заземленном затворе (при этом появится
нелинейных искажений, в выключенном — прямое смещение перехода канал—под­
ведет себя как разомкнутая цепь. Он имеет ложка; см. разд. 3.02). Если надо пере­
пренебрежимо малую емкость относитель­ ключать сигналы обеих полярностей (т. е.
но земли и вносит ничтожно малые навод­ в диапазоне от — 10 до +10 В), то можно
ки в сигнал от переключающего его уров­ применить такую же схему, но с затво­
ня, приложенного к управляющему входу. ром, управляемым напряжением - 15 В
Рассмотрим пример (рис. 3.35). Тх— (ВЫКЛ) и +15 В (ВКЛ); подложка долж­
«-канальный МОП-транзистор обогащен­ на быть подсоединена к напряж ению
ного типа, не проводящий ток при зазем­ - 15 В.
ленном затворе или при отрицательном Для любого ПТ-ключа сопротивление
напряжении затвора. В этом состоянии нагрузки должно быть в диапазоне от 1 до
сопротивление ст о к -и с т о к (ЛВЬ|КЛ), как 100 кОм, чтобы предотвратить емкостное
правило, больше 10 ООО МОм, и сигнал прохождение входного сигнала в состоя­
не проходит через ключ (хотя на высоких нии «ВЫКЛ», которое имело бы место
частотах будут некоторые наводки через при большем сопротивлении. Сопротивле­
емкость сток—исток; подробнее об этом ние нагрузки выбирается ком пром исс­
см. дальше). Подача на затвор напряже­ ным. М алое сопротивление уменьш ит
ния + 15 В приводит канал сток—исток в емкостную утечку, но вызовет ослабле­
проводящее состояние с типичным сопро­ ние входного сигнала из-за делителя напря­
тивлением от 25 до 100 Ом (Лвкл) для ПТ, ж ения, образованного сопротивлением
используемых в качестве аналоговых клю­ проводящего ПТ /?вкл и сопротивлением
чей. Схема не критична к значению уров­ нагрузки. Так как R bkji меняется с изме­
ня сигнала на затворе, поскольку он су­ нением входного сигнала (при измене­
щественно более положителен, чем это нии U3ii), это ослабление приведет к не­
необходимо для поддерж ания малого которой нежелательной нелинейности.
Явкл, и поэтому его можно задавать от ло­ Слиш ком низкое сопротивление нагруз­
гических схем (можно использовать вне­ ки проявляется также и на входе ключа,
шний полевой или биполярны й тран ­ нагружая и сточни к входного сигнала.
Полевые транзисторы 151

В разд. 3.12 и 4.30 предложены некото­


рые решения этой проблемы (многоступен­
чатые ключи, компенсация сопротивления
/?вкл). П ривлекательная альтернатива -
применение еще одного ПТ-ключа, зако­
рачивающего выход на землю, если пос­
ледовательно включенный ПТ находится
в состоянии «ВЫКЛ»; таким образом ф ор­
мируется однополюсный ключ на два н а­
правления (подробнее об этом см. в сле­
дующем разделе).
Аналоговые ключи на КМОП. Часто н е­
обходимо переключать сигналы, сравни­ Рис. 3.37. 1 — л-канальный; 2 — /мсанальный.
мые по величине с напряжением питания.
В этом случае описанная выше простая вень земли запирает оба ПТ, размыкая
я-канальная схема работать не будет, по­ таким образом цепь. В результате полу­
скольку при пиковом значении сигнала чается аналоговы й переклю чатель для
затвор не будет иметь смещения в прямом сигналов в диапазоне от земли до Ucc.
направлении. Переключение таких сиг­ Это основа схемы КМ ОП «передающего
налов обеспечивают переключатели на вентиля» 4066. Как и описанные ранее
комплем ентарны х М О П -тран зисторах ключи, схема работает в двух направле­
(КМОП, рис. 3.36). Треугольник на схе­ ниях - любой ее зажим может служить
ме - это цифровой инвертор, который мы входным.
вкратце опишем: он преобразует высокий Выпускается большое количество интег­
уровень входного сигнала в низкий уро­ ральных КМ ОП-ключей в разных конфи­
вень выходного и наоборот. При высо­ гурациях (например, несколько секций с
ком уровне управляющего сигнала 7j про­ несколькими полюсами каждая). Схема
пускает сигналы с уровнями от земли до 4066 - классическая КМ ОП-схема «ана­
Ucc без нескольких вольт (при более вы­ логового запорного вентиля» серии 4000 -
соких уровнях сигнала начинает драма­ это просто другое название для анало­
тическим образом расти). Аналогично Т2 гового ключа, переключающего сигналы
при заземленном затворе пропускает сиг­ в диапазоне от земли до положительного
нал с уровнями от Ucc до значения на напряж ения питания. С ерии IH5040 и
несколько вольт выше уровня земли. Т а­ IH5140 фирмы Intersil и серии DG305 и
ким образом, все сигналы в диапазоне от DG400 фирмы Siliconix очень удобны в
земли до Ucc проходят через схему с ма­ употреблении; они используют управля­
лым сопротивлением (рис. 3.37). Пере­ ющий сигнал от ТТЛ, оперируют анало­
ключение управляющего сигнала на уро- говыми сигналами до ±15 В (тогда как у
Входной/
серии 4000 этот диапазон составляет всего
выходной лиш ь ±7,5 В), легко включаются в разно­
образные конфигурации и имеют сравни­
тельно малое сопротивление в состоянии
«ВКЛ» (у некоторых из них 25 Ом). Ф ир­
мы Analog Devices, Maxim и PMI также
выпускают хорошие аналоговые ключи.
Мультиплексоры. Хорошим приложе­
нием ПТ-ключей являются мультиплек­
сигнал
соры -схемы , которые позволяют выбрать
один из нескольких входов по указанию
управляющего цифрового сигнала. Анало­
говый сигнал с этого выбранного входа
Рис. 3.36. Аналоговый ключ на КМОП-транзисторах. будет прямо проходить на (единствен-
152 Глава 3

схемы IH6108 и IH6116 (8- и 16-входовые


Вход 0 ■
мультиплексоры), воспринимающие в ка­
честве кода адреса логические уровни
Вход 1 -
ТТЛ и КМ ОП и работающие с аналого­
выми сигналам и до ± 1 5 В. П риборы
■Выход 4051—4053, которые входят в семейство
Вход 2 - цифровых схем КМ ОП, являются анало­
говы м и м ул ьти п л ек сорам и -д ем ульти ­
плексорами, имеющими до 8 входов, но
Вход 3 - С уровень аналогового сигнала, ограничен
15 В; у них есть вывод иээ (внутренний
уровень смещения), так что их можно ис­
пользовать для работы с биполярными
аналоговыми сигналами и однополярны­
Дешифратор
адреса ми управляющими сигналами с уровня­
А, Л, ми цифровых логических схем.
Другие применения аналоговых ключей.
"Адрес" Управляемые напряжением аналоговые
выбранного J МЗР"
входа 1 СЗР - ключи образуют блоки, существенно важ­
ные для построения схем на ОУ, которые
Рис. 3.38. Аналоговый мультиплексор. мы увидим в следующей главе, — интег­
раторы, схемы слежения—хранения и пи­
ный) выход. На рис. 3.38 показана фун­ ковые детекторы. К примеру, с помощью
кциональная схема такого устройства. ОУ мы сможем построить «подлинный»
Каждый из ключей от КлО до КлЗ есть интегратор (в отличие от приближения к
аналоговый КМОП-ключ. «Выбирающая интегратору, которое мы видели в разд.
логика» декодирует адрес и «задействует» 1.15): постоянный входной сигнал гене­
(жаргонный аналог слова «включает») рирует линейно (не экспоненциально)
только адресованный ключ, блокируя ос­ нарастающий сигнал на выходе и т.д. При
тальные. Такой мультиплексор обычно таком интеграторе мы должны иметь спо­
используется в сочетании с цифровыми соб «сброса» (восстановления) выхода; с
схемами, вырабатывающими адрес. Ти­ этой задачей справляется ПТ-ключ, шун­
пичная конфигурация может включать в тирующий интегрирующий конденсатор.
себя блок накопления данных, в котором Мы не хотели бы здесь полностью опи­
несколько входных сигналов поочередно сывать данные схемы; поскольку основ­
опрашиваются, преобразуются в цифро­ ную часть этих схем составляют ОУ, они
вую форму и используются как входные естественным образом попадают в следу­
данные для каких-то вычислений. ющую главу. Не будем предвосхищ ать
Так как аналоговые ключи являются дву­ событий.
направленными устройствами, аналоговый
мультиплексор является одновременно и
«демультиплексором», т. е. сигнал может 3.12. Недостатки ПТ-ключей
быть подан на выход и снят с избранного
входа. В гл. 8 и 9 будет показано, что Быстродействие. П Т-клю чи имеют со ­
аналоговый мультиплексор может приме­ противление во включенном состоянии /?вю
няться в качестве «цифрового мультиплек­ от 25 до 250 Ом. В ком бинации с ем­
сора-демультиплексора», поскольку циф­ костью подложки и паразитными емкос­
ровые логические уровни —это не что тями это сопротивление образует фильтр
иное, как значения напряжения, трактуе­ нижних частот, ограничивающий рабочие
мые как двоичные единицы и нули. частоты зн ачен иям и п орядка 10 МГц
Т ипичны е аналоговы е м ул ьти п л ек­ и даже ниже (рис. 3.39). Полевые тран­
соры - схемы серий DG506-509, а также зисторы с меньшим R имеют обычно
Полевые транзисторы 153

R = 3 0 0 Ом
Вход. ■Выход

С =5пФ^= zi1- С ВЫХ = 22пФ

Рис. 3.39. Параметры аналогового мультиплексора ГИС. 3 .4 U .


#/-508 (значения даны для замкнутого канала). f,m =
= 1/(2nR С ) /и 24 МГц.

большую емкость (у некоторых муль­ противление ключа Лвкл будет расти, и


типлексоров до 50 пФ), так что выигрыша максимум его имеет место при уровне
в скорости нарастания сигнала они не сигнала, среднем между напряж ением
дают. Значительная доля ограничения питания и землей (или между двумя на­
частотной характеристики вызвана эле­ пряжениями питания при двуполярном
ментами защиты —последовательными то­ питании) (рис. 3.40). При уменьшении
коограничивающими резисторами и шун­ Ucc сопротивление ПТ во включенном со­
тирующими диодами. Существует н е­ стоянии становится значительно выше
сколько аналоговых «телерадиочастотных» (особенно вблизи точки Um = Ucc/ 2),
ключей, обеспечивающих пропускание так как для ПТ обогащенного типа Un со­
сигналов более высокой частоты, возмож­ ставляет по крайней мере несколько вольт
но за счет отказа от некоторых видов за­ и для достижения малых значений RВКЛ тре-
*
щиты. Например, ключи IH5341 и IH5352 оуется напряжение затвор—исток не мень-
оперируют аналоговы м и сигналам и в ше чем 5 -1 0 В. Кроме того, что парал­
обычном диапазоне ±15 В и имеют поло­ лельное сопротивление двух ПТ растет при
су пропускания 100 МГц; серии «высоко­ уровне сигнала, среднем между напряже­
скоростных» мультиплексоров 74НС4051-53 нием питания и землей, этот пик (при
также обеспечивают полосу пропускания 0,5 Ucc) будет увеличиваться по мере умень­
аналоговых сигналов на уровне 3 дБ, рав­ шения Ucc, и при достаточно низком UU(T
ную 100 МГц, но обрабатывают при этом ключ для сигналов с уровнем около 0,5 исс
сигналы только до ± 5 В. МАХ453-5 фир­ будет представлять разомкнутую цепь.
мы Maxim сочетают в себе видеомуль­ Имеются различные приемы, которые
типлексор с выходным видеоусилителем, разработчики ИМ С аналоговых ключей
так что их можно непосредственно подклю­ применяю т, чтобы сохранить значение
чать к низкоомным (обычно 75 Ом) ка­ Лвкл малым и примерно постоянным (для
бельным или иным нагрузкам; они имеют малых искажений) во всем диапазоне из­
типичную полосу пропускания 50 МГц и мерения сигналов. Например, в перво­
предназначены для сигналов видеочасто­ начально выпускавшемся аналоговом клю­
ты ± 1 В от низкоомных источников. че 4016 использовалась простая схема рис.
Сопротивление в открытом (включенном) 3.36, дающая графики й подобные тем,
состоянии. Ключи КМ ОП, работающие от что показаны на рис. 3.41. В улучшен­
относительно высокого напряжения пи­ ном ключе 4066 разработчики добавили
тания (скажем, 15 В), будут иметь малые несколько ПТ таким образом, что напря­
значения /?вкл во всем диапазоне значений жение я-канальной подложки следует за
сигнала, так как всегда тот или другой напряжением сигнала, давая в результате
проводящий транзистор будет иметь пря­ кривые /?вкл, показанны е на рис. 3.42.
мое смещение затвора, равное по край­ «Вулканообразная» форма этих кривых с
ней мере половине напряжения питания. понижением Лвкл в центре заменила «Эве­
Но при меньшем напряжении питания со­ рест» на графиках для 4016.
154 Глава 3

Рис. 3.41. Сопротивление вклю­


ченного (замкнутого) канала ана­
логового КМОП-ключа типа 4016.

Н апряжение сигнала, В

Рис. 3.42. Сопротивление замкну­


того улучш енного аналогового
КМОП-ключа типа 4066; обратите
внимание на изменение масштаба
по сравнению с рис. 3.41.
Н апряжение сигнала, В

R , 0М

Напряжение сигнала, В

Рис. 3.43. Сопротивление замкнутого аналогового Рис. 3.44. Емкости аналоговых ключей (на примере
ключа из семейства IH5140, позволяющего переклю­ 4-канального переключателя AD7510). R = 75 Ом.
чать сигнал обеих полярностей; обратите внимание
на масштаб вертикальной оси.
Полевые транзисторы 155

Усложненные ключи, такие как IH5140


(или AD7510), предназначенные для се­ Вход Выход
рьезных применений, дают еще лучший
результат, представленный в виде кривых 10 кОм 10 кОм
Лвкл на рис. 3.43. Недавно выпущенные
фирмой Siliconix ключи DG400 дают пре­
восходные R bkji в 20 Ом ценой увеличения
«передачи заряда» (см. ниже подраздел Управление -
«динамические помехи»); это семейство Рис. 3.46.
ключей, как и серия IH5140, имеет еще Выход
Вход —
одно достоинство - нулевой ток покоя.
Емкость. ПТ-ключи обладают следую­
Замкнут
щими емкостями: между входом и выхо­
дом (Сси), между каналом и землей (Сс, J1 -
Разомкнут
Си), между затвором и каналом и между
двумя ПТ в пределах одного кристалла
(Ссс, С,»); см. рис. 3.44. Рассмотрим,
какие эффекты они вызывают. Рис. 3.47.
Сси (емкость вход-выход). Н аличие
этой емкости приводит к прохождению что вызывает сквозное прохождение -
сигнала через разомкнутый ключ, кото­ 40 дБ). И разумеется, имеется значитель­
рое на высоких частотах возрастает. На ное ослабление (и нелинейность в пере­
рис. 3.45 показан этот эффект для клю­ даче) сигнала при работе на 50-омную на­
чей серии IH5140. Обратите внимание на грузку, поскольку типичное значение Лвкл
использование 5 0 -о м н ой н агрузки - составляет 30 Ом (75 Ом в худшем слу­
сопротивления, обычного для радиосхем, чае). При нагрузке 10 кОм ситуация со
но м ного м еньш е н о р м а л ь н о го для сквозной передачей сигнала, конечно же,
низкочастотных сигналов, где типичное намного хуже.
значение полного сопротивления нагруз­
ки составляет 10 кОм и более. Даже при Упражнение 3.8. Рассчитайте сквозное прохожде­
ние сигнала в нагрузку 10 кОм на частоте 1 МГц,
нагрузке 50 Ом сквозное прохождение приняв Сси = 1 пФ.
сигнала на высоких частотах становится
значительным (на частоте 30 МГц емкость В большинстве низкочастотных приме­
1 пФ имеет полное сопротивление 5 кОм, нений емкостное сквозное прохождение
не создает проблем. Если они возника­
ют, наилучшим решением является ис­
пользование пары каскадно-включенных
ключей (рис. 3.46) или, что еще лучше,
комбинации из последовательного и шун­
тирующего ключей, включаемых попере­
менно (рис. 3.47). П оследовательны й
каскад удваивает ослабление (в децибелах)
ценой дополнительного /?вкл, в то время
как последовательно-параллельная схема
(фактически это однополосный ключ на
два направления - 1П2Н) уменьшает пря­
мое прохождение, снижая эффективное
сопротивление нагрузки до Явкл, когда
последовательный ключ разомкнут.
Упражнение 3.9. Пересчитайте сквозное прохож­
Рис. 3.45. Изолирующие характеристики ключа из дение в нагрузку 10 кОм на частоте 1 МГц, приняв
семейства IH5140 (ключ разомкнут). С си = 1 пФ и R = 5 0 Ом для схемы рис. 3.47.
156 Глава 3

Однополярные двусторонние КМ ОП- роста частоты и увеличения полного со­


ключи с управлением, гарантирующим противления источника сигнала, к ко­
размыкание перед замыканием, выпуска­ торому подключен канал. Предоставим
ются отдельными блоками. На практике вам возможность самим удостовериться
можно встретить и пару ключей 1Н2П в в сказанном.
одном корпусе. П римерами являю тся Упражнение 3.11. Рассчитайте величину наводки,
ИМС DG188 и IH5142, а также DG191, в децибелах, между парой каналов с Ссс = Сии = 0,5 пФ
IH5143 и AD7512 (сдвоенные приборы (рис. 3.44) для полных сопротивлений источника и
1Н2П в одном корпусе). Благодаря дос­ нагрузки, приведенных в последнем упражнении.
Примите частоту сигнала помехи равной 1 МГц. Рас­
тупности таких КМ ОП-ключей легко с считайте величину наводки для каждого следующего
помощью подобных однополюсных на два случая: а) оба ключа разомкнуты, б) от разомкнуто­
направления конфигураций получать пре­ го ключа к замкнутому, в) от замкнутого ключа к
восходные параметры. Радиовидеочас - разомкнутому и г) оба ключа замкнуты.
тотные клю чи, о которых говорилось Из этого примера должно быть ясно,
выше, имели встроенную последователь­ почему для большинства широкополосных
но-параллельную схему. радиочастотных схем применяются низко­
Сс, Си (емкость относительно земли). омные источники сигналов, обычно со­
Шунтирующая на землю емкость приво­ противлением 50 Ом. Если перекрестные
дит к упомянутому ранее спаду частотной помехи создают серьезные трудности, не
характеристики. Ситуация усугубляется подавайте на один кристалл более одного
при высокоомном источнике сигналов, сигнала.
однако даже при фиксированном сопро­ Динамические помехи. Во время пере­
тивлении источника сопротивление ключа ходных процессов от включенного состо­
Лвкл в сочетании с шунтирующей емкос­ яния к выключенному и обратно в анало­
тью на выходе образует фильтр нижних говых ПТ-ключах могут возникать непри­
частот. Следующее упражнение показы­ ятные эффекты. С качок управляющего
вает, как это происходит. сигнала, поданный на затвор(ы), может
Упражнение 3.10. AD7510 (все паспортные значе­ создавать емкостную наводку в канале (ка­
ния его емкостей можно определить из рис. 3.44) налах) и исказить коммутируемый сигнал
подключен к входному источнику сигналов, имею­ до неузнаваемости. Это наиболее серьез­
щему сопротивление 10 кОм, а сопротивление на­
грузки на выходе ключа составляет 100 кОм. Чему но при уровнях сигнала, соответствующих
равна верхняя частота среза на уровне —3 дБ? Повто­ высокому сопротивлению ключа. Подоб­
рите вычисления, приняв жестко фиксированное со­ ные эффекты возникают и в мультиплек­
противление источника сигнала и сопротивление клю- сорах (типа 4066) во время изменения ад­
ча Rm = 75 Ом? реса канала; кроме того, в мультиплексо­
Емкость затвор—канал. Емкость между ре возможно кратковременное соединение
управляющим затвором и каналом вызы­ входов через открытые ключи, если за­
вает еще один эффект, а именно наводку держка выключения канала превосходит
неприятных (даже когда они малы) пере­ задержку включения.
ходных помех на цепь сигнала при замы­ Рассмотрим этот вопрос более подроб­
кании или размыкании ключа. Сей пред­ но. На рис. 3.48 изображена форма вы­
мет заслуживает серьезного обсуждения, ходного сигнала, которую можно увидеть
так что мы отложим его до следующего на выходе «-канальной схемы аналогово­
раздела. го МОП-ключа, схема которого показана
Ссс, Сии (емкость между ключами). на рис. 3.35, при нулевом уровне вход­
Если разместить несколько ключей на ного сигнала и нагрузке, состоящей из
одном кристалле кремния размером с сопротивления 10 кОм и параллельной
кукурузное зерно, то не следует удивлять­ ему емкости 20 пФ, — вполне реальные
ся, заметив наводки между каналами («пе­ значения для схемы аналогового ключа.
рекрестные помехи»). Виновницей, разу­ Эти красивые переходные процессы вы­
меется, является емкость между канала­ званы переносом заряда в канал через
ми ключей. Эффект усиливается по мере емкость затвор—канал при изм енении
Полевые транзисторы 157

Сигнал воз­ от полного сопротивления источника сиг­


буждения нала и будет наименьшей в том случае,
когда ключ будет управляться источником
напряжения. Конечно, уменьшение пол­
Выходной
сигнал
Л ного сопротивления нагрузки уменьшает
величину динамической помехи, но при
этом нагружается источник и вносятся
дополнительные статическая погрешность
Рис. 3.48. и нелинейность за счет конечного значе­
ния параметра Авкл. И наконец, при про­
напряжения затвора. Последнее делает
чих равных, ключ с меньшей величиной
резкий скачок от одного уровня пита­
емкости затвор—канал будет вносить мень­
ния к другому, в нашем случае от ± 15 к
шие переходные помехи в процессе пере­
- 15 В (или в обратном направлении),
ключения, хотя за это мы платим увели­
перенося заряд Q = ± С ж(и3шс~ 1/3низ), чением R,lK:r
где С3 — емкость затвор-канал, обычно
На рис. 3.49 приведены для сравнения
около 5 пФ. Заметим, что величина пере­
кривые переноса заряда для трех типов
носимого заряда зависит только от пол­
аналоговых ключей, в том числе и ключа
ного изменения напряжения затвора и не
на ПТ с -я-переходом. Во всех трех слу­
зависит от времени, за которое это изме­
чаях сигнал на затворе меняется в пол­
нение происходит. Замедление изменения
ном диапазоне, т. е. на 30 В или в пре­
сигнала на затворе вызывает меньшую по
делах обозначенных на графике уровней
амплитуде, но более долгую динамичес­
напряжения питания для М ОП-транзис­
кую помеху с той же площадью под гра­
торов и от — 15 В до уровня сигнала для
фиком. Фильтрация выходного сигнала
ключей на я-канальных ПТ с р —я-перехо­
ключа фильтром нижних частот дает тот
дом. Для последних существует сильная за­
же эффект. Такие меры могут помочь в висимость величины динамической по­
тех случаях, когда важно добиться малого
мехи от сигнала, поскольку диапазон из­
пика амплитуды динамической помехи,
менения напряжения затвора пропорцио­
однако в смысле исключения пропуска­
нален разности между уровнем сигнала и
ния управляющего напряжения с затвора
у р о в н е м —15 В. Х о р о ш о с б а л а н с и ­
на выход они неэффективны. В некото­
рованные КМ ОП-ключи имеют относи­
рых случаях можно предсказать емкость
тельно малую динамическую помеху, по­
затвор-канал с достаточной точностью,
для того чтобы погасить выбросы путем 100 -г
добавки инвертированного сигнала затво­
ра через небольшой переменный конден­
сатор.
Емкость затвор—канал распределена по
всей длине канала, а это значит, что часть
заряда (помехи) попадает обратно на вход
ключа. В результате величина динам и­
ческой помехи выходного сигнала зависит

Рис. 3.49. Зависимость заряда по­


мехи у различных линейных ПТ-
ключей от напряжения управляюще­
го сигнала. I - ПТ с р—«-перехо­
дом; 2 - КМОП-ключ из семейства
DG400; 3 - КМОП-ключ из семей­
ства DG200.
158 Глава 3

Рис. 3.50. Цепи зашиты входа


(выхода) КМОП-схем. Последо­
вательно включенный резистор
на выходе часто не ставится.
скольку попадающие в канал заряды у КУВ-защелкивание происходит спуско­
ком плем ентарны х МОП -транзи сторов вым (триггерным) переключением за счет
стремятся скомпенсировать друг друга входного тока (через цепь защиты) вели­
(когда на одном затворе напряжение ра­ чиной где-то около 20 мА или более. Та­
стет, на другом — падает). Чтобы дать ким образом, необходимо быть осторож­
представление о масштабе этих эффектов, ными и не подавать на аналоговые входы
скажем, что заряд 30 пКл соответствует напряжение, превышающее напряжение
разности потенциалов (скачку,) в 3 мВ на питания. Это, в частности, означает, что
конденсаторе емкостью 0,01 мкФ. Это мы всегда должны обеспечить подачу на­
значительная емкость для конденсатора пряжения питания прежде, чем поступит
фильтра, и видно, что это действительно какой бы то ни было сигнал, способный
проблема, так как динамическая помеха вы звать ток зн ач и тельн ой величины .
в 3 мВ является существенной погреш­ Между прочим, этот запрет столь же спра­
ностью при работе с аналоговыми сиг­ ведлив и для цифровых КМ ОП ИС, как и
налами низкого уровня. для только что рассмотренных нами ана­
Защелкивание и входной ток. Все интег­ логовых ключей.
ральные КМОП-схемы имеют ту или иную Неприятности, связанные с диодно-ре-
схему защиты входа, так как в противном зисторными цепями защиты, состоят в
случае изоляция затвора легко разруша­ том, что они ухудшают параметры клю­
ется (см. разд. 3.15). Обычная схема та­ ча, увеличивая Лвкл, шунтирующую ем­
кой защиты показана на рис. 3.50. Хотя кость и утечку. При искусном проекти­
в ней можно использовать распределен­ ровании чипа (с использованием «изоля­
ную диодную матрицу, однако данная цепь ции диэлектриком») можно исключить
эквивалентна фиксирующим диодам, под­ КУВ-защелкивание, не ухудшая серьезно
ключенным к Ucc и Um , в сочетании с параметров схемы, что обычно происхо­
резистивной токоограничивающей цепью. дит за счет схемы защиты. Многие более
Если напряжение на входе (или на выхо­ «свежие» разработки аналоговых ключей
де) превысит напряжение питания более имеют «защиту от дурака»; например, ана­
чем на падение напряжения на диодном логовые мультиплексоры IH5108 и IH 5116
переходе, соответствующий диод перей­ фирмы Intersil имеют схемы фиксации,
дет в состояние проводимости, и для вхо­ которые позволяют подавать на аналого­
да (или выхода) образуется цепь с низ­ вые входы до ±25 В даже при нулевом
ким полным сопротивлением относитель­ напряжении питания (за эту устойчивость
но соответствующего источника питания. мы платим /?вкл, вчетверо превышающим
Но что еще хуже, чип при возбуждении этот параметр для обычного IH6108/16).
входа может войти в так называемое «КУВ- Будьте, однако, осторожны, поскольку
защелкивание» - ужасное (и разрушитель­ существует множество ИМ С аналоговых
ное) состояние, которое мы более под­ ключей, которые этого не прощают!
робно опишем в разд. 14.16. Все, что не­ Существуют аналоговые ключи, постро­
обходимо нам знать о нем сейчас, — это енны е не на ком плем ентарны х М О П -
то, что данное состояние нежелательно! транзисторах, а на ПТ с р —и-переходом.
Полевые транзисторы 159

Они работают очень хорошо, по некото­ 10 кОм


Вход
рым параметрам опережая КМ ОП-клю -
чи. В частности, ключи на ПТ с р —п-
переходом фирмы PMI имеют совершенно
неизменное Лвкя, не зависящее от аналого­
вого напряжения, полное отсутствие эффек­
та защелкивания и мало подвержены элек­
— Выход
тростатическому пробою.
^ 0,01 мкФ
Другие недостатки ключей. Вот некото­
рые дополнительные параметры аналого­
вых ключей, которые могут быть важны­
Выбор крутизны
ми или не являться таковыми в том или спада АЧХ
ином конкретном применении: время пе­
реключения, время установления, задер­ Рис. 3.52. ЛС-фильтр нижних частот с возможнос­
жка размыкания перед замыканием, ток тью выбора 15 значений постоянной времени, рав­
утечки канала (как в замкнутом, так и в ноотстоящих друг от друга.
разомкнутом состоянии; см. разд. 4.15),
согласованность R ВКЛ и темп. коэф.^
RВКЛ ’, построить простой ЛС-фильтр нижних ча­
диапазоны изменения сигнала и напря- стот с возможностью выбора частоты сре­
жения питания. Мы проявим недюжин­ за. В схеме использован мультиплексор
ное самообладание, поставив на этом точ­ для выбора одного из четырех предвари­
ку и предоставив читателю самому вхо­ тельно подобранных резисторов путем
дить во все подробности, если конкретное набора 2-разрядного двоичного (цифро­
применение потребует этого. вого) адреса. Мы решили поставить пе­
реклю чатель на входе, а не после р е­
3.13. Несколько схем на ПТ-ключах зисторов, так как при этом уменьшается
«впрыск» заряда в точку с более низким
Как мы отмечали ранее, многие естествен­ сопротивлением источника сигнала. Еще
ным образом возникающие применения одна возможность, конечно же, состоит
аналоговых ПТ-ключей - это схемы на ОУ, в том, чтобы использовать ПТ-ключи для
которые мы будем рассматривать в следу­ выбора конденсатора фильтра. Чтобы
ющей главе. В этом разделе мы покажем получить очень широкий диапазон посто­
несколько применений, не требующих янных времени, можно было бы попро­
ОУ, с тем чтобы дать почувствовать, в бовать это сделать, но при этом конечное
какого вида схемах можно использовать значение ЛВЮ 1 ключа ограничит коэф ф и­
эти ключи. циент передачи фильтра на высоких час­
Переключаемый ЛС-фильтр нижних ча­ тотах максимум Лвкл/Л пос1]. На схеме обо­
стот. На рис. 3.51 показано, как можно значен также буфер с единичным усиле­
н и е м , с т о я щ и й вслед за ф и л ь тр о м ,
поскольку выходное сопротивление схе­
4-канальный
мы велико. В следующей главе вы уви­
дите, как построить «совершенный» по­
вторитель (с точно заданным коэффици­
ентом усиления, высоким Z bx, низким
ZBb,x’ отсутствием сдвига UE3 и т.п.). Разу­
меется, в том случае, когда стоящий вслед
за фильтром усилитель имеет высокое
входное сопротивление, повторитель не
нужен.
На рис. 3.52 показан простой вариант
предыдущей схемы; здесь мы использова­
Рис. 3.51. ли вместо 4-входового мультиплексора
160 Глава 3

+20 В нагрузки мы использовали источник (точ­


нее, приемник) неизменного тока, как
это было сделано в более раннем приме­
ре, чтобы можно было получить коэф ­
фициент усиления много меньше едини­
цы. Далее, мы применили мультиплексор
для выбора одного из четырех резисторов.
Обратите внимание на разделительный
конденсатор, который нужен, чтобы сде­
лать ток покоя не зависящим от коэф ­
фициента усиления.
Схема слежения — хранения. Рис. 3.54
демонстрирует, как можно сделать схему
« сл еж ен и я -х р ан ен и я » , которая будет
кстати, когда мы захотим преобразовать
аналоговый сигнал в поток цифровых ком­
бинаций («аналого-цифровое преобразо­
Рис. 3.53. Аналоговый мультиплексор выбирает вание»), При этом схема будет сохранять
соответствующий резистор автоматического смеще­ неизменным каждый уровень аналогово­
ния в цепи эмиттера для получения декадно-пере- го сигнала, пока вычисляется его величи­
ключаемого коэффициента усиления. на. Данная схема проста. Входной буфер­
* Подбирается для получения К = 100; (Rmj + + ный усилитель с единичным усилением
+ R ) = 100 Ом. выдает на низкоомный выход копию вход­
четыре независимых ключа. При таком ного сигнала, направляя ее на конденса­
масштабном соотношении сопротивлений тор малой емкости. Чтобы сохранить (за­
резисторов, которое приведено здесь, помнить) уровень аналогового сигнала в
можно задавать 16 равноотстоящих значе­ любой заданный момент, вы просто раз­
ний частоты среза путем замыкания этих мыкаете ключ. Высокое полное входное
ключей в различных комбинациях. сопротивление второго буфера (у которо­
го на входе должны быть полевые транзи­
Упражнение 3.12. Чему равны частоты среза (на
уровне —3 дБ) в схеме рис. 3.52?
сторы, чтобы входной ток не слишком
отличался от нуля) предотвращает нагруз­
Усилители с переключаемым коэффици­ ку конденсатора, так что напряжение на
ентом усиления. На рис. 3.53 показано, как нем «хранится» до тех пор, пока ПТ-ключ
можно применить ту же самую идею пере­ не замкнется снова.
ключаемых резисторов для создания уси­
лителя с возможностью выбора коэффи­ Упражнение 3.13. Входной буфер должен выдавать
циента у си л ен и я. Хотя эта идея е с ­ ток такой величины, чтобы напряжение на конден­
саторе следовало за изменяю щимся сигналом.
тественным образом требует ОУ, можно Рассчитайте пиковый выходной ток буфера при по­
применить ее и к усилителю с эмитгерной даче на вход схемы синусоидального сигнала амп­
обратной связью. В качестве эмитгерной литудой 1 В и частотой 10 кГц.

Буфер
А л Буфер
Вход - c r 'i’ o - x l 4^ Выход

0,01мкФ

Слежение |— |
Хранение

Рис. 3.54. Схема слежения—хранения.


Полевые транзисторы 161

используем ПТ как последовательный


ключ, разрешающий или блокирующий
прохождение аналогового сигнала, кото­
рый представляет собой изменяющееся в
н екотором д и ап азон е (н еп реры вн ы м ,
т. е. аналоговым образом) напряжение.
Аналоговый сигнал — это обычно сигнал,
имеющий низкий уровень напряжения и
незначительную мощность. С другой сто­
рон ы , при логическом переклю чении
ключи на М ОП-транзисторах замыкают­
ся и размыкаются, перебрасывая выход
Рис. 3.55. Инвертор напряжения схемы от одного источника питания к
с «плавающим» конденсатором. другому. Фактически эти «сигналы» яв ­
ляются цифровыми, а не аналоговыми —
Конвертер напряжения с «плавающим» они скачком переходят от уровня питания
конденсатором. Существует прекрасный одного источника к другому, представляя
способ (рис. 3.55) создавать нужное нам тем самым два состояния: «высокое» и
напряжение питания отрицательной по­ «низкое». Промежуточные уровни напря­
лярности в схеме, запитанной от однопо­ жения не являются полезными или жела­
лярного положительного источника пита­ тельными; фактически, они даже незакон­
ния. Пара левых по схеме ПТ-клю чей ны! И наконец, понятие «мощные пере­
подключает С, к положительному источ­ ключатели» относится к вклю чению и
нику питания, заряжая его до Um, в то выключению питания нагрузки, такой как
время как правые ключи разомкнуты. лампа, обмотка реле или двигатель венти­
Вслед за тем входные ключи размыкают­ лятора. В таких применениях обычно и
ся, а правая пара ключей замыкается, под­ напряжения, и токи велики. Рассмотрим
ключая заряженный С{ к выходу, при этом вначале логические переключатели.
часть его заряда передается на Сг Схема Логические ключи. На рис. 3.56 пока­
организована столь хитроумным спосо­ зан простейший тип логического переклю­
бом, что С, переворачивается вверх тор­ чателя н а М О П -транзисторе. В обеих
машками, выдавая на выход напряжение схемах в качестве нагрузки используется
отрицательной полярности! Данная кон­ резистор и обе они осуществляют логи­
кретная схема выпускается в виде чипа ческую функцию инвертирования —высо­
конвертера напряжения 7662, о котором кий логический уровень на входе создает
мы поговорим в разд. 6.22 и 14.07. Это низкий уровень на выходе, и наоборот. Ва-
устройство, названное «инвертором», пре­
вращает напряжение «высокого» уровня в
напряжение «низкого» уровня, и наобо­
рот. В следующем разделе мы покажем,
как делается один из таких инверторов (и
мы фактически подготовим вас к тому,
что вы быстрее поймете, как ускорить их
работу, о чем идет речь в гл. 8- 11!).

3.14. Логические и мощные ключи на


МОП-транзисторах

Другие виды применений ПТ-ключей —


это логические и мощные переключаю­
щие схемы. Отличить их просто. При Рис. 3.56. Логические инверторы на я-канальном (а)
переключении аналогового сигнала мы и /^-канальном (б) МОП-транзисторах.
162 Глава 3

риант схемы на я-канальном транзисторе +ю в


включает выход на землю при подаче на
затвор высокого уровня, тогда как в />-ка­
нальном варианте на резисторе образует­ Uю
Ю кОм

ся высокий логический уровень при за­


земленном (низкий уровень) входе. Об­ —I— ■и..
ратите внимание на то, что М ОП-тран- I
I
зисторы в этих схемах используются как _гъ l i| r Спараз 20 пФ
инверторы с общим истоком, а не как I
I
истоковые повторители. В цифровых ло­ I
-L -
гических схемах подобных представленным
нас обычно интересует выходное напря­
жение («логический уровень»), проду­
цируемое некоторым входным напряже­
нием; резистор служит просто пассивной
нагрузкой в цепи стока, обеспечивая при
запертом ПТ выходное напряжение, рав­
ное напряжению питания стока. С дру­
гой стороны, если мы заменим резистор
осветительной лампочкой, реле, приво­
Паразитные наводки
дом печатающей головки или какой-то ( * „ " 1 0 кОм)
другой мошной нагрузкой, получим схе­
"Ж есткость"
му мощного переключателя (рис. 3.3). (К 1ш , 100 0м )
Хотя мы используем ту же самую схему
«инвертора», однако при переключении Рис. 3.57.
мощной нагрузки нас интересует ее вклю­
чение и выключение, а не напряжение скажем 10 кОм, даст на выходе форму
выхода. сигнала, показанную на рис. 3.57.
Инвертор на КМ ОП. Представленные Ситуация напоминает однокаскадный
выше инверторы на я-канальном или /?-ка­ эмиттерный повторитель из разд. 2.15, в
нальном МОП-транзисторе имеют недо­ котором потребляемая мощность в состо­
статки: они потребляют ток в состоянии янии покоя и мощ ность, направляемая в
«ВКЛ» и имею т о тн о си тел ьн о в ы с о ­ нагрузку, выбираются из тех же ком про­
кое выходное сопротивление в состоянии миссны х соображ ений. Реш ение здесь
«ВЫКЛ». Можно уменьшить выходное одно — использование пушпульной схе­
сопротивление (уменьшив R ), но только мы, особенно хорошо подходящей для
ценой увеличения рассеиваемой м ощ ­ переключателей на М ОП-транзисторах.
ности и наоборот. За исключением ис­ Взгляните на рис. 3.58; здесь показано,
точников тока иметь высокое выходное со­
+игг
противление, конечно же, всегда плохо.
Даже если подключенная к выходу на­
грузка имеет высокое сопротивление (на­
пример, это затвор другого М ОП-тран-
зистора), все равно возникают проблемы
шумов из-за емкостных наводок и умень­ Вход Выход
шается скорость переклю чения из со­
стояния «ВКЛ» в состояние «ВЫКЛ»
(«хвост переключения») за счет паразит­
и
ной емкости нагрузки. В этом случае, на­
пример, инвертор на я-канальном М ОП-
транзисторе со стоковы м резистором ,
имеющим компромиссное сопротивление, Рис. 3.58. Логический КМОП-инвертор.
Полевые транзисторы 163

как можно было бы организовать пуш- ные М ОП-ключи, включаемые и выклю­


пульный (двухтактный) ключ. П отенци­ чаемые одновременно.
ал земли на входе вводит нижний тран­
зистор в состояние отсечки, а верхний - Упражнение 3.14. Комплементарные МОП-транзи-
сторы в КМОП-инверторе оба работают как инверто­
во включенное (замкнутое) состояние, ры с общим истоком, тогда как комплементарные би­
в результате чего на выходе будет высо­ полярные транзисторы в пушпульных схемах разд. 2.15
кий логический уровень. Высокий ( +UCC) являются (неинвертирующими) эмитгерными повто­
уровень входа действует противополож­ рителями. Попробуйте нарисовать «комплементарный
ным образом, давая на выходе потенци­ биполярный инвертор», аналогичный КМОП-инвер-
тору. Почему он не сможет работать?
ал земли. Это инвертор с низким вы ­
ходным сопротивлением в обоих состоя­ О цифровых КМОП-схемах гораздо боль­
ниях и в нем совершенно отсутствует ток ше будет сказано там, где будут рассматри­
покоя. Называют его КМ О П -инвертор ваться цифровые логические схемы и мик­
(инвертор на комплементарных М ОП- ропроцессоры (гл. 8—11). На сей момент
транзисторах), и он является базовой остановимся на очевидном: КМОП-схемы -
структурой для всех цифровых логичес­ это семейство маломощных логических схем
ких КМОП-схем—семейства, которое уже (с нулевым потреблением мощности в со­
стало преобладающим в больших интег­ стоянии покоя), имеющих высокое полное
ральных схемах (БИ С) и которому, п о­ входное сопротивление и жестко заданные
хоже, предопределено зам енить более уровни выходного напряжения, соответ­
ранние семейства логических схем (так ствующие полному диапазону напряжений
называемые TTJI-схемы), построенные на питания. Однако прежде чем оставить сей
биполярных транзисторах. Обратите вни­ предмет, мы не можем устоять против со­
мание на то, что К М ОП-инвертор пред­ блазна показать еще одну КМОП-схему
ставляет собой два ком плем ентарны х (рис. 3.59). Это логический вентиль И-НЕ,
М ОП-клю ча, соединенны х последова­ на выходе которого будет низкий логичес­
тельно и включаемых попеременно, в то кий уровень только в том случае, если на
время как аналоговый КМ ОП-ключ (рас­ обоих входах — на входе А и на входе В -
смотренный ранее в этой главе) — это будет высокий уровень. Понять, как он ра­
параллельно соединенные комплементар­ ботает, исключительно просто.

И-НЕ Инвертор

Рис. 3.59. КМОП-вентили И-НЕ и И.


164 Глава 3

Если уровни А и В — оба высокие, то оба


последовательно включенные и-канальные
МОП-ключи Тх и Т2 находятся в прово­
дящем состоянии, жестко фиксируя на
выходе потенциал земли; /7-канальны е
ключи Тъ и Г4 оба разомкнуты, так что
ток через них не течет. Однако если уро­
вень на любом из входов А или В (или на Рис. 3.60. Емкостной зарядный ток.
обоих) низкий, то соответствующий ^-ка­
нальный М ОП-транзистор открыт, пода­ п роц ессов через выход К М О П -схем ы
вая на выход высокий уровень, так как должен проходить кратковременный ток
один (или оба) транзистор последователь­ / = CdU/dt, чтобы зарядить имеющуюся
ной цепи 7j Т2 закрыт и ток через них на выходе емкость той или иной величи­
не проходит. ны (рис. 3.60). Емкость нагрузки образу­
Схема называется вентилем И -Н Е, по­ ется как за счет емкости проводников («па­
скольку она осуществляет логическую функ­ разитная» емкость), так и за счет входной
цию И, но с инверсным (НЕ) выходом. емкости дополнительной логической схе­
Хотя вентили и их варианты — предмет мы, подключенной к выходу. Фактичес­
рассмотрения гл. 8, вы можете доставить ки, поскольку сложный чип на компле­
себе удовольствие, попытавшись набить ментарных МОП-транзисторах содержит
руку на решении следующих проблем. много вентилей, каждый из которых на­
гружен на некоторую внутреннюю ем ­
Упражнение 3.15. Нарисуйте КМОП-вентиль И.
Подсказка: И = НЕ-И-НЕ. кость, в любой КМ ОП-схеме имеется не­
Упражнение 3.16. Теперь нарисуйте схему вентиля
который ток стока, который участвует в
ИЛИ-HE. На выходе этой схемы низкий уровень, переходных процессах, даже если сам
если на любом из входов А или В (или на обоих) чип не подключен ни к какой нагрузке.
уровень высокий. Неудивительно, что этот «динамический»
Упражнение 3.17. Небольшая загадка — как будет ток стока пропорционален скорости, с
выглядеть КМОП-вентиль ИЛИ? которой происходит этот переходный
Упражнение 3.18. Нарисуйте 3-входовый КМОП- процесс. Второй механизм п оявлен ия
вентиль И-НЕ. тока стока в К М О П -схеме показан на
Цифровые логические К М О П -схем ы , рис. 3.61. При переходе напряжения на
которые мы будем рассматривать п оз­
же, строятся путем к о м б и н и р о в ан и я
этих базовых вентилей. Сочетание очень
малой потребляемой мощ ности и ж ест­
ко заданного выходного н ап ряж ени я,
привязанного к ш инам питания, дела­
ет выбор семейства логических схем на
КМ ОП-транзисторах предпочтительным
для больш инства цифровы х схем, что и
о б ъ я с н я е т их п о п у л я р н о с т ь . К р о м е
того, для микромощ ных схем (таких как
наручные часы и малые измерительны е
приборы с батарейны м питанием ) это
вообще единственное реш ение.
Однако, если мы не хотим впасть в заб­
луждение, стоит отметить, что мощность,
потребляемая К М О П -логикой, хотя и
очень мала, но не равна нулю. Существу­
ют два механизма, вызывающие появле­ Рис. 3.61. Проводимость в КМОП-схеме в режиме
ние тока стока. Во время переходных класса А.
Полевые транзисторы 165

входе скачком от потенциала земли к


уровню напряж ения питания и обратно
сущ ествует о б л а сть , в к о т о р о й оба
МОП-транзистора находятся в состоянии
проводимости, в результате чего возни­
кает всплеск тока от Ucc на землю. Его
иногда называют «ток класса А» или «ло­
мовой ток питания». Некоторые след­
ствия, которые он вызывает, вы увидите
в гл. 8, 9 и 14. Коль скоро мы сделали
ставку на КМОП-схемы, нужно отметить
и другой их недостаток (фактически, он
присущ всем МОП-транзисторам) - это
незащищенность от повреждения стати­
ческим электричеством. Дополнительно
мы поговорим об этом в разд. 3.15.
Линейный усилитель на КМОП-тран-
зисторах. КМОП-инверторы, как впрочем
и все цифровые логические схемы, пред­ XLO
назначены для работы с цифровыми ло­ =*Ж
S S
гическими уровнями сигналов. Поэтому, -©
ЗЕ-=
л1
за исключением времени переходных про­
цессов, входы и выходы подключены к
земле или к шине Ucc (обычно +5 В).
И опять-таки за исключением времени,
Частота, кГц
которым длятся эти переходные процессы
(типичная величина — несколько наносе­
кунд), здесь нет тока стока в состоянии Рис. 3.62.
покоя.
Оказывается, КМ ОП-инвертор облада­ Вариации RHи дтс изменением тока стока
ет некоторыми интересными свойствами, таковы, что наибольш ий коэф ф ициент
когда он работает с аналоговыми сигна­ усиления наблюдается при относительно
лами. В згляните сн ова на рис. 3.61. малых значениях тока стока, т. е. при низ­
Можно рассматривать Тх как активную ком напряжении питания (порядка 5 В).
(источник тока) нагрузку для инверти­ Эта схема не является хорошим усили­
рующего усилителя Т2 и наоборот. К ог­ телем; у нее есть недостатки — это очень
да на входе потенциал, близкий к Ucc или высокое выходное сопротивление (осо­
к потенциалу зем ли, токи указанны х бенно при работе с низким напряжением
т р а н зи с т о р о в с и л ь н е й ш и м о б р а зо м питания), плохая линейность и непред­
отличаются друг от друга и усилитель сказуемая величина коэффициента уси­
находится в насыщении (или в «прижа­ ления. Однако она проста и недорога
том» соответственно к земле или Ucc со ­ (КМ ОП-инверторы по 6 в одном корпусе
стоянии). Это, разумеется, нормальная продаются по цене менее полдоллара за
ситуация для цифровых сигналов. Одна­ корпус), и ее иногда используют для уси­
ко когда напряжение на входе равно при­ ления малых сигналов, форма которых не­
близительно половине напряжения пита­ существенна. Примеры применения таких
ния, есть небольшая область, где токи схем — сигнализатор близости электросе­
стоков Тх и Т2 примерно одинаковы; в этой ти (который усиливает емкостные навод­
области схема является инвертирующим ки переменного тока сетевой частоты), ге­
линейным усилителем с большим коэф ­ нераторы с кварцевыми резонаторами и
фициентом усиления. Его передаточная устройства с частотной модуляцией и час­
характеристика представлена на рис. 3.62. тотной манипуляцией (см. гл. 15).
166 Глава 3

_п_п_п_
10 МОм

0,1 0,1
мкФ К
мкФ
II 1
II
*> ° -

Рис. 3.63. Схемы линейных усилителей на КМОП-транзисторах.

Чтобы К М О П -инвертор работал как ких схем) из синусоидального входного


линейный усилитель, необходимо подать сигнала. Эта схема работает хорошо при
на вход смещение такое, чтобы усилитель амплитуде сигнала на входе от 50 мВ до 5 В
находился в активном режиме. Обычный (эффективные значения). Вот хороший
метод состоит в том, что со входа на вы­ пример применения, где действует прин­
ход включается резистор с большим со­ цип «Я не знаю, каково усиление, и меня
противлением (который мы определим в это не волнует». Обратите внимание на
следующей главе как «обратная связь по цепь защиты входа, состоящую из после­
постоянному току»), как показано на рис. довательно включенного токоограничива­
3.63. Это приведет нас в точку i / ux = Um ющего резистора и фиксирующих диодов.
на графике рис. 3.62, а. Как мы позже Мощные переключатели. М О П -тран­
увидим, такое включение, как на рис. зисторы хорошо работают как насыщ ен­
3.63, а, снижает входное полное сопро­ ные ключи в таких схемах, как та про­
тивление за счет «шунтирующей обратной стейшая схема, что была предложена нами
связи». Поэтому если важно иметь высо­ в разд. 3.01. В настоящее время мощные
кое входное полное сопротивление на МОП-транзисторы выпускаются многими
высоких частотах, то предпочтение сле­ фирмами, что позволяет использовать по­
дует отдать схеме рис. 3.63, б. Третья схе­ ложительные особенности М О П -транзи­
ма (рис. 3.63, в) - это использующий сторов (высокое входное сопротивление,
КМОП-усилитель классический генератор простота параллельного включения, отсут­
с кварцевой стабилизацией, описанный ствие «вторичного пробоя») и в мощных
в разд. 5.13. На рис. 3.64 дан вариант схемах. Вообще говоря, мощные М ОП-
схемы рис. 3.63, а, который использует­ транзисторы проще в применении, чем
ся для генерации сигналов строго прямо­ обычные мощные биполярные транзисто­
угольной формы частотой 10 МГц (так­ ры . Е сть, од н ако , н екоторы е тон ки е
товый генератор для цифровых логичес­ и трудные для анализа эффекты, так что

1 МОм
Г\ Г \ Л 1N 914-

0,001 мкФ 100 0м


Вход 10 МГц_
0,05-5 В HI—С J U T .'
(эфф.)
51 0м 74НС04 74НС04
1 N 914

Рис. 3.64.
Полевые транзисторы 167

«кавалерийский наскок» в зам ене на терм оразогрева и вторичного пробоя.


МОП-транзисторы в переключательных Этот последний эффект очень важен в
схемах может привести к внезапном у мощных схемах и труден для понимания.
выходу схемы из строя. Мы были свидете­ Больш ую площ адь перехода м ощ ного
лями такого рода аварий и надеемся пре­ транзистора (будь то биполярный или по­
дотвратить их повторение. Прочтите со­ левой) можно рассматривать как большое
ставленный нами краткий обзор. количество малых переходов, включенных
Мощные МОП-транзисторы. ПТ были параллельно (рис. 3.65), причем к ним
хилыми слаботочны м и устройствам и, ко всем приложено одинаковое напряже­
способными пропускать ток не более чем ние. В случае м ощ ного б и п ол ярн ого
несколько десятков миллиампер, до тех транзистора положительный температур­
пор пока в конце 1970-х годов японские ный коэф ф ициент коллекторного тока
компании не выпустили так называемые при фиксированном Ub3 (приблизитель­
У М О П -т р а н з и с т о р ы (в е р т и к а л ь н а я но + 9%/°С , см. разд. 2.10) означает,
М О П -структура с V-образн ой к а н а в ­ что локальная точка разогрева перехода
кой). будет иметь более высокую плотность
Сегодня мощ ные М О П -транзисторы тока, что вызовет дополнительный н а­
выпускают все изготовители дискретных грев. При достаточно больших UK3 и / к
полупроводниковых приборов (например, эта «токовая деформация» может привес­
в С Ш А т ак и е ф и р м ы , к а к G E , IR , ти к локальному саморазогреву, извест­
Motorola, RCA, Siliconix, Supertex, TI; на­ ному под названием «вторичный пробой».
ряду с ними европейские компании, та­ В результате «площадь безопасной рабо­
кие как Amperex, Ferranti, Siemens и SGS, ты» биполярного транзистора (на графи­
а также многие из японских компаний) под ке зависимости коллекторного тока от на­
такими названиями, как УМОП, ТМОП, пряж ения на коллекторе) меньше, чем
вертикальные ДМОП и HEXFET (гекса­ если учитывать только допустимую мощ­
гональные ПТ). Они могут оперировать с ность рассеяния транзистора (подробнее
удивительно высокими напряжениями (до об этом см. в гл. 6). Важный момент здесь
1000 В) и допускают пиковые токи до 280 А состоит в том, что ток стока М О П -тран­
(постоянно через них может проходить ток зистора падает при увеличении темпера­
до 70 А), а Лвкл очень мало — 0,02 Ом. туры (рис. 3.13) и это полностью и с ­
Небольшие мощные М ОП-транзисторы ключает появление «горячих точек» в
стоят существенно меньше доллара, и вы­ переходе. М О П -транзисторы не подвер­
пускаются они во всех обычных транзис­ жены вторичному пробою и их область
торных корпусах, а также по несколько безопасной работы ограничена только
транзисторов в удобном корпусе D IP, допустимой мощ ностью рассеяния (см.
в котором выпускаются и большинство рис. 3.66, где сравниваю тся области бе­
ИМС. Ирония судьбы заключается в том, зопасной работы биполярного п —р —п-
что теперь уже трудно найти дискретные тран зи стора и м ощ ного я-кан ального
маломощные М О П -транзисторы , зато М О П -транзистора при одних и тех же
нет проблем с мощными М ОП-транзис- 7макс > ^макс И ^расс)' П ° ТСМ Ж е "Р И Ч И Н Э М
торами. В табл. 3.5 перечислены н аи ­ усилители мощ ности на М О П -транзис­
более представительные типы мощных торах не имеют тех неприятны х тенден­
МОП-транзисторов. ций к температурному уходу параметров,
Высокое сопротивление, температурная за которые мы «так любим» биполярные
стабильность. Два важных преимущества транзисторы (см. разд. 2. 15), и, нако­
мощных М ОП-транзисторов, отличаю­ нец, мощ ные М О П -транзисторы могут
щих их от мощных биполярных транзис­ быть включены параллельно без токовы ­
торов, —это высокое входное сопротивле­ равниваю щ их резисторов, которые для
ние (однако остерегайтесь высокой вход­ биполярны х транзисторов необходимы
ной емкости, особенно для сильноточных (см. разд. 6.07).
устройств; см. ниже) и полное отсутствие
Таблица 3.5. Мощные МОП-транзисторы
{/■•
си пр’ в
Постоян­ й « . , ' ив> в Сш, пФ С зс,пФ Ош,- нКл Корпус61 Тип и примечания*'
ный ток Ом при В (макс.) (тип.) (тип.) (тип.)
стока, А (макс.)
л-канальные
30 0,8 1,8 5 2,5 110 35 D IP -14 VQ3001J11; 2N, 2Р в кор­
пусе DIP
40 4 2,5 5 1,5 60 5 0,8 ТО-92 TN0104N3; низкий порог
60 0,2 6 5 2,5 60 5 — ТО-92 VN0610L31; защита затво­
ра; подобен VN2222
60 0,4 5 5 2,5 60 10 DIP-14 VQ1004J0; счетверенный
~ в корпусе DIP
60 15 0,14 5 2 900 180 Ю -220 RFP15N06L2); низкий по­
рог
100 0,25 15 5 2,4 27 3 0,6 ТО-92 VN1310N3, BSS100
100 0,8 2,5 5 2,4 70 12 2,6 ТО-92 VN0210N3
100 1,3 0,3 10 4 450 50 11 DIP-4 IRFD120
100 2 1 5 2 200 20 - Ю -220 RFP2N10L2>; низкий порог
100 4 0,6 10 4 180 15 5 Ю -220 1RF510, MTP4N10,
VN1110N5, 2SK295
100 8 0,25 10 4 350 24 10 Ю -220 IRF520, BUZ72A, 2SK383,
VN1210N5
100 25 0,08 10 4 1500 90 39 Ю -220 IRF540, MTP25N10
100 40 0,06 10 4 2000 350 63 ТО-3 IRF150, 2N6764
100 65 0,04 10 5 5200 640 - ТО-3 VNE003A»
120 0,2 10 2,5 2 125 20 - ТО-92 VN1206L !|; низкий порог
200 0,1 40 5 3,5 25 3 0,5 ТО-92 VN1320N3
200 0,1 24 10 2 40 5 - ТО-92 VN2020L1’, BS107
200 0,25 15 5 3 40 5 1,0 ТО-92 VN0120N3, BSS101
200 0,4 8 5 3 75 7 2,5 ТО-92 VN0220N3, BSS89
200 3 1,5 10 4 140 9 6 ТО-220 IRF610, VN1220N5
200 5 0,8 10 4 450 40 11 Ю -220 IRF620, MTP5N20,
BUZ30, 2SK440
200 9 0,4 10 4 600 80 19 ТО-220 IRF630, MTP8N20,
BUZ32
200 18 0,18 10 4 1300 93 43 ТО-220 IRF640
200 30 0,09 10 4 2600 150 80 ТО-3 IRF250, 2N6766,
MTM40N20
500 0,05 85 5 4 45 2 - ТО-92 VN0550N3
500 0,2 20 5 4 75 10 - ТО-92 VN0650N3
500 2,5 3 10 4 350 10 13 Т0-220 IRF820, BUZ74,
MTP3N50
500 4 1,5 10 4 610 18 21 ТО-220 IRF830, BUZ41A,
VN5001D", MTP4N50
500 8 0,85 10 4 1300 45 42 Т0-220 IRF840, MTP8N50,
2SK55 541
500 12 0,4 10 4 2700 75 86 ТО-3 IRF450, 2N6770,
2SK56041
500 20 0,3 10 5 4500 100 - ТО-3 VNP006A"
1000 1 10 10 4,5 1200и) 80"> 33 ТО-220 MTP1N100, BUZ50B
1000 5 3 10 4,5 2600м1 220"» ПО ТО-3 MTM5N100, BUZ54,
IRFAG50
/^-канальные
30 0,6 2 12 4,5 150 60 - DIP-14 VQ3001J»; 2N, 2Р в кор­
пусе DIP
60 0,4 5 10 4,5 150 20 DIP-14 VQ2004J'1; четыре в кор­
пусе DIP
100 0,15 40 5 3,5 20 3 0,4 ТО-92 VP1310N3
100 0,4 8 5 3,5 90 15 3 ТО-92 VP210N3, VP1008L"
100 1 0,6 10 4 300 50 16 DIP-4 IRFD9120
100 6 0,6 10 4 300 50 16 Т0-220 IRF9520, VP1210N5,
МТР8Р10
Продолжение табл. 3.5
В Постоян- Яв[а, £/зи, Un, В Сзи, пФ Сзс, пФ нКл Корпус61 Тип и примечания"1
ный ток Ом при в (макс.) (тип.) (тип.) (тип.)
стока, А (макс.)
100 19 0,2 10 4 1100 250 70 ТО-220 IRF9540, МТР12Р10
200 0,06 100 5 3,5 35 2 0,5 ТО-92 VP1320N3
200 0,1 40 5 3,5 50 5 1 ТО-92 VP0120N3, BSS92
200 3,5 4 5 3,5 600 20 10 ТО-220 VP1220N5, IRF9622
200 11 0,5 10 4 1100 150 70 Т0-220 IRF9640
500 0,07 150 5 5 35 3 ТО-92 VP0550N3
500 0,1 25 5 4 75 10 ТО-92 VP0650N3
500 1 9 5 4,5 550 20 Ю -220 VP0350N5
500 2 6 10 4,5 1000”» 80й» 20 ТО-220 МТР2Р50
а) Чш = +20 В, за исключением: ” ±40 В, 21 +10 В, 31 +15, —0,3 В и 41 ±15 В
61 в : DIP-4= 120°С/Вт; DIP-14 = 100"С/Вт; ТО-92 = 200 "С/Вт; 0 пк: ТО-220 = 2,5 °С/Вт; ТО-3 = 0,8 Х/Вт.
Ртс при Г =75 "С: D1P-4 = 0,6 Вт; DIP-14 = 0,8 Вт; ТО-92 = 0,3 Вт; Р сс (при Т = 75 °С): ТО-220 = 30 Вт;
ТО-3 = 90 Вт.
■>Ожидаются вариации параметров у различных изготовителей; здесь представлены типичные параметры.
Максимум.

Примеры мощных переключательных схем гр у зк е о б р а т н о н а ч у в с т в и т е л ь н у ю


и необходимые предосторожности. Часто КМ ОП-логику (вскоре мы расскажем об
бывает желательно управлять мощ ным этом более подробно). Во второй схеме
М ОП-транзистором с выхода цифровых на затвор подается 5 В, что все еще неплохо
логических схем. Хотя имеются семей­ для серий VN01/VP01; для разнообразия
ства логических схем, выдающие напря­ мы применили здесь /ьканальный МОП-
ж ение 10 В и более (« К М О П -сер и я транзистор, переключающий нагрузку, под­
4000»), однако в большинстве семейств ключенную к земле.
логических ИМ С используются уровни Две оставшиеся схемы демонстрируют
+ 5 В («высокоскоростные КМОП») или два способа обработки сигнала +2,4 В (в
+ 2,4 В («ТТЛ»). На рис. 3.67 показано, худшем случае; обычно это где-то около
как переключать нагрузку, подавая управ­ + 3,5 В) - высокого логического уровня
ляющие сигналы от логических схем этих цифровой логики ТТЛ. Можно исполь­
трех семейств. В первой схеме сигнал зовать «подтягивающий» к + 5 В резис­
возбуждения затвора +10 В полностью тор, чтобы обеспечить полный перепад
откроет любой М ОП-транзистор, так что + 5 В на выходе ТТЛ, который затем воз­
мы выберем VN0106 - недорогой тран­ буждает обычный МОП-транзистор; мож­
зи сто р , у ко то р о го R bkji < 5 Ом при но выбрать и другой путь — использовать
Um = 5 В. Диод в схеме защ ищ ает от ч то-н и б уд ь вроде T N 0106 - « н и зк о ­
индуктивных всплесков (разд. 1.31); вклю­ порогового» М ОП-транзистора, рассчи­
ченный последовательно с затвором ре­ танного на сигнал возбуждения с уровнем
зистор хотя не обязательно необходим, од­ ТТЛ. Будьте, однако, внимательны к пас­
нако полезен, так как емкость с т о к - портным данным. Например, в специфи­
затвор МОП-транзистора может передать кации на TN01 указано «Umno= 1,5 В
индуктивный переходный процесс в на­ (макс.)», что звучит прекрасно до тех пор,
К

-
Рис. 3.65. Транзистор с большой
площадью переходов можно рас­
r*j г*] г*
сматривать как много параллельно
включенных транзисторов с малой
площадью переходов.
170 Глава 3

ны вторичному пробою.

+ 2 4 В (пост, ток)

+20 В

74LS08

RВКЛ =, , 50м (м акс.)'


при У = 5 В

Рис. 3.67. МОП-транзисторы способны переключать мощные нагрузки, при управляющих сигналах
с уровнями цифровых логических схем.
Полевые транзисторы 171

а именно — что выбрать: сложную схему,


полностью удовлетворяющую критериям
разработки в наихудшем случае и тем
самым гарантирую щ ую работоспособ­
ность, или простую схему, не отвечаю­
щую спецификациям в наихудшем случае,
которая, однако, в подавляющем боль­
шинстве случаев будет работать без про­
блем. Не раз еще возникнут моменты,
когда вы поймаете себя на том, что выби­
раете последнее, не обращая внимания на
слабый внутренний голос, подсказываю­
щий обратное.
Емкость. В предыдущем примере мы
включали последовательно с затвором
"си-В резистор (в схеме с индуктивной нагруз­
кой). Как отмечалось ранее (разд. 3.09),
М О П -транзисторы имеют практически
бесконечное резистивное сопротивление
затвора, но конечное полное сопротивле­
ние из-за емкости затвор—канал. У силь­
ноточных МОП-транзисторов эта емкость
может быть очень разной: сравните вход­
ную емкость 45 пФ у 1-амперного VN01
с Свх = 450 пФ 10-амперного IRF520; 70-
амперный SM M70N05 фирмы Siliconix
имеет Свх = 4300 пФ! Быстро '
изменяю-
щееся напряжение стока может вызвать
в затворе переходный ток в миллиампе­
рах, что достаточно для перегрузки (и даже
для повреждения) нежных управляющих
КМ ОП-чипов.
tv в П оследовательно вклю чаемое соп ро­
тивление вы бирается из соображ ений
Рис. 3.68. Стоковые характеристики я-канального компромисса между быстродействием и
МОП-транзистора типа TN0104 с низким пороговым
напряжением, а — выходные характеристики; б — пере­
необходимостью защиты, при этом ти­
даточные характеристики. пичными являются значения от 100 Ом
до 10 кОм. Даже без индуктивной нагрузки
динамический ток затвора будет, конечно,
пока вы не прочтете превосходную снос­ иметь место: емкость относительно земли
ку («при /с = 1 мА»), Это означает, что для С будет заряжаться током / = CisdU3J d t,
полного открытия М ОП-транзистора на а (меньшая) емкость обратной связи Ст
затвор нужно подать напряжение намного создает входной ток / = Сш dUC3/dt. Этот
выше £/!и,юр (рис. 3.68). Однако эта схема, последний будет доминировать в ключе с
возможно, будет работать хорошо, по­ общим истоком, поскольку Д UC3 обычно
скольку а) высокий уровень выхода ТТЛ намного больше, чем сигнал возбуждения
редко бывает ниже +3 В и типичное его затвора Д Ц И (эффект Миллера).
значение составляет +3,5 В и б) в пас­ Упражнение 3.19. МОП-транзистор IRF520, пе­
порте на TN01 далее указано: «1/вкл (тип.) = реключающий 2-амперную нагрузку, выключается
= 5 Ом при Um = 3 В». за 100 не (при переключении потенциала затвора с
+ 10 В до потенциала земли), в течение которых
Этот пример иллюстрирует часто воз­ напряжение стока изменяется от 0 до 50 В. Чему
никающие у разработчика затруднения, равно среднее значение тока затвора в течение этих
172 Глава 3

Заряд затвора, нКл и„в

Рис. 3.69. Зависимость заряда затвора МОП-тран- Рис. 3.70. Емкости в мощном МОП-транзисторе типа
зистора типа IRF520 от Um. IRF520. Измерения проводились при t/3H = 0. Со =
= Сзи + Сзс (сток и исток закорочены); Сш = Сзс;
^ira Q m + (С зи ‘ ^ з с )/( ^ з и + ' *-си + ^ЗС-

100 не в предположении, что С311 (называемое также Упражнение 3.20. Как зависимость Сш от напря­
С ) равно 450 пФ, а Сг, (называемое также С ) равно жения объясняет изменение наклона кривых заряда
5<ГпФ? затвора?
В ключе с общим истоком вклад эф ­ Дополнительные моменты, требующие
фекта Миллера в ток затвора имеет место внимания. Есть еще некоторые вещи, ко­
все время, пока не завершится переход­ торых не выносят МОП-транзисторы и о
ный процесс в цепи стока, а емкость которых вам следует знать. Все изготови­
затвор—исток создает ток только при изме­ тели мощных М ОП-транзисторов соеди­
нении напряжения затвора. Эти эффек­ няют подложку с истоком прямо в кор­
ты часто рисуются в виде графика «зави­ пусе. П оскольку подлож ка образует с
симости заряда затвора от напряжения каналом диод, то это означает, что ф ак­
затвор—исток», как это сделано на рис. тически в этих транзисторах между исто­
3.69. Горизонтальная полка на графике ком и стоком имеется диод (рис. 3.71);
наблюдается при напряжении включения, некоторые изготовители даже явно рису­
когда быстро падающее напряжение сто­ ют этот диод на схемном изображении
ка вынуждает схему возбуждения затвора выпускаемого ими М О П -транзистора,
впрыскивать дополнительный заряд в С s чтобы вы об этом не могли забыть. Это в
(эффект Миллера). Если бы емкость об­
ратной связи не зависела от напряжения,
то эта горизонтальная часть графика была
бы пропорциональна напряжению стока,
после чего кривая продолжалась бы с пре­
жним наклоном. На самом деле емкость
обратной связи Ст при малом напряже­
нии быстро возрастает (рис. 3.70), а это
означает, что эффект Миллера больше все­
го проявляет себя на той части сигнала,
когда напряжение на стоке мало. Этим
объясняется изменение наклона кривой
заряда затвора, а также тот факт, что дли­ Рис. 3.71. В мощных МОП-транзисторах подлож­
на горизонтальной полки почти не зави­ ку соединяют с истоком, в результате чего образу­
сит от напряжения стока. ется диодный переход сток—исток.
Полевые транзисторы 173

свою очередь означает, что вы не можете ный ток. Еще одно, за чем необходимо
использовать мощный МОП-транзистор следить — не оставить затвор М О П -тран­
как ненаправленный прибор или же по зистора неподключенным, так как он н а­
меньшей мере не можете подать на пере­ много более подвержен пробою, когда на
ход с т о к -и ст о к напряж ение обратной нем накапливается плавающий потенци­
полярности, превышающее прямое паде­ ал (нет цепи разряда статического элект­
ние напряжения на диоде. Например, вы ричества, которая в некоторой мере сн и ­
не сможете использовать мощный М ОП- жает опасность пробоя). Это может слу­
транзистор для сброса в нуль интеграто­ читься неожиданно, если сигнал на затвор
ра, возбуждаемого биполярным сигналом; п оступ ает с другой п еч атн ой схемы .
не получится также применить его и в ка­ В этом случае, т. е. там, где источник сиг­
честве аналогового ключа для биполярных нал находится вне данной платы, лучше
сигналов. Эта проблема не возникает в всего поставить в схему между затвором и
ИМС на МОП-транзисторах (аналоговых истоком любого такого МОП-транзистора
ключах, например) — в них подложка со­ резистор (скажем, 100 кОм- I МОм).
единена с выводом источника питания С равнение сильнот очны х клю чей на
отрицательной полярности. МОП-транзисторах и биполярных транзи­
Еще один капкан для неосторожных - сторах. М ощ ные М О П -транзисторы в
это тот факт, что напряжение пробоя зат­ большинстве случаев являются хорошей
в о р -и сто к (обычная величина ±20 В) заменой мощным биполярным транзис­
меньше, чем напряжение пробоя с т о к - торам. Сегодня они при тех же парамет­
исток (оно изменяется в диапазоне от 20 рах стоят несколько больше, однако они
до 1000 В). Это не имеет значения, если на проще в управлении и не подвержены вто­
затвор подаются сигналы возбуждения от ричному пробою, ограничивающему об­
низковольтной цифровой логики, однако ласть безопасной работы (см. рис. 3.66).
транзистор мгновенно выйдет из строя, П о м н и те, что М О П -тр ан зи с то р во
если на затвор ему подать сигнал со стока вклю ченном состоянии ведет себя как
предыдущего МОП-транзистора с полной малое сопротивление (а не как насыщен­
амплитудой напряжения стока. ный биполярный транзистор). Это может
И наконец, о защите затвора. В пос­ оказаться выгодным, так как «напряже­
леднем разделе этой главы мы говорим о ние насыщения» явным образом стремится
том, что все МОП-транзисторы исклю­ к нулю при малых токах стока. Существует
чительно чувствительны к электростати­ общее представление о том, что М ОП-
ческим разрядам, вызывающим пробой транзисторы не насыщаются так же при
изолирующего затвор окисла. В отличие больших токах, однако наши исследова­
от ПТ или других устройств с р —«-перехо­ ния показали, что это представление глу­
дами, в которых лавинный ток перехода боко ошибочно. В табл. 3.6 мы выбрали
может безопасным образом разрядить воз­ несколько сравнимых пар (биполярный
никшее перенапряжение, М ОП-транзис­ л —р-и-транзистор и «-канальный М ОП-
торы необратимо повреждаются при од­ транзистор) и выписали для них паспорт­
нократном мгновенном пробое затвора. ные данные по 1/кэ нас или Лси вкл. Слабо-
Поэтому очень полезно включать в цепь точный М ОП-транзистор выглядит слабо
затвора последовательные резисторы со­ в сравнении со своим биполярным со­
противлением 1-10 кОм, особенно там, братом, однако в диапазоне 10—50 А,
где сигнал на затвор поступает с другой 0—100 В М ОП-транзистор работает луч­
печатной платы. Это сильно уменьшает ше. Обратите особое внимание на исклю­
возможность повреждения транзистора, а чительно высокий ток базы, необходимый
также предотвращает перегрузку выхода для того, чтобы биполярный транзистор
предыдущей схемы, так как самый общий вошел в глубокое насыщение — 10% и бо­
симптом такого рода повреждения состо­ лее от величины коллекторного тока (!) — в
ит в том, что через затвор начинает про­ сравнении с 10 В смещения (ток нулевой),
ходить значительной величины постоян­ при которых обычно специфицируются
174 Глава 3

Таблица 3.6. С равнение биполярны х и М О П -транзи сторов


Класс Тип 'к. /с A Uн ас ,’ В (макс.) / Б, мА с „ , пФ Цена, долл.
В) (10 В) макс. США за
25 "С 125 ‘С 100 шт.
60 В; 0,5 А п р п -гт т 0,5 0,75 0,8 50 8 0,09
«МОП—VN0610 0,5 2,5 4,5 (Ю) 25 0,43
60 В; 10 А прп—2N3055 10 3 - 3,3 600 0,65
яМС>П-МТР3055А 10 1,5 2,3 (10) 300 0,57
100 В; 50 А n/w-2N6274 20 1 1,4 2 600 11,00
«M 0n-VN E003A 20 0,7 1,1 (10) 3000 12,50
400 В; 15 А npn-2N6547 15 1,5 2.5 2 500 4,00
«МОП—IRF350 15 3 6 (Ю) 900 12,60

данные на М О П -транзистор. Отметим потребляющий значительную мощность


также, что высоковольтные М ОП-тран- микропроцессор на все время, пока изме­
зисторы (например, с иси В|С1 > 200 В) рения не проводятся. Здесь мы применили
имеют, как правило, большее /?СИвкл и бо­ /ь к а н а л ь н ы й МОП-ключ, переключаемый
лее высокие значения температурных ко­ 5-вольтовым логическим сигналом. Эта
эффициентов, чем низковольтные устрой­ «5-вольтовая логика» представляет собой
ства. Наряду с параметрами насыщения цифровые КМ ОП-схемы, которые нахо­
в таблице приведены значения емкостей, дятся в рабочем состоянии даже тогда,
так как их величина у мощных М ОП- когда микропроцессор отключен (напом­
транзисторов часто больше, чем у бипо­ ним: К М О П -логика имеет статическую
лярных транзисторов с такой же токо­ м ощ н ость р ас сея н и я , равную нулю).
вой нагрузочной способностью; для неко­ В гл. 14 мы предлагаем гораздо подроб­
торых схемных прим енений (особенно нее рассказать о такого рода схеме «от­
там, где важна скорость переключения) ключения питания».
м ож но р ас см а т р и в ать п р о и зв ед ен и е Вторая схема (рис. 3.72,6) переключа­
емкости на напряжение насыщения как ет подачу в нагрузку питания +12 В при
показатель качества применяемого тран­ значительном токе нагрузки; это может
зистора. быть радиопередатчик или что-то подоб­
Запомните: мощные М ОП-транзисторы ное. Поскольку у нас есть лишь 5-вольто-
можно использовать в качестве замены вый диапазон логического сигнала, то для
биполярных транзисторов в мощных ли ­ создания «полномасштабного» сигнала
нейных схемах, например в усилителях амплитудой 12 В, который будет управ­
звуковой частоты и стабилизаторах на­ лять ^-канальны м М О П -вентилем, мы
пряжения (о последних мы будем гово­ использовали слаботочный я-канальный
рить в гл. 6). Мощные МОП-транзисто- ключ. Обратите внимание на высокое со­
ры выпускаются также в виде />-канальных противление резистора в цепи стока л-ка-
приборов, хотя среди и-канальных прибо­ нального М ОП-транзистора, что здесь со­
ров их разновидностей гораздо больше. вершенно оправдано, так как ток в цепи
Некоторые примеры мощных переклю­ затвора /^-канального М О П -вентиля не
чательных схем на МОП-транзисторах. течет (даже при полном токе через ключ
На рис. 3.72 показаны три разных способа 10 А) и нам не требуется высокая ско­
использования М ОП-транзистора для уп­ рость переключения в такого рода приме­
равления мощностью постоянного тока, нениях.
которая направляется в некоторую под­ Третья схема в) является развитием
схему и подачу которой нам хотелось бы схемы 6) и содержит схему на р —п-р-тран­
включать и выключать. Если мы имеем зисторе, ограничивающую ток короткого
измерительный прибор с батарейным пи­ замыкания. Применять такую защиту в
танием, и измерения с его помощью про­ схемах с большой потребляемой мощ нос­
изводятся от случая к случаю, тогда мож­ тью всегда полезно, поскольку короткое
но применить схему а, которая отключает замыкание такого рода весьма вероятно,
Полевые транзисторы 175

Выкл

+ 12 В

К нагрузке 12 В

IRF9533 (10А, 0,4 Ом)

+ 12 В
0,5 Ом VP12
12-В нагрузка
ЮОкОм П *1 ъ• 3 г

ЮкОм
Li—Г Рис. 3.72. Мощные схемы переключения цепей по­
стоянного тока на МОП-транзисторах.

На рис. 3.73, а показана в качестве при­


+ 5 мера простая переключательная схема на
VN01
ОLT — II М ОП-транзисторе, одна из тех, где ис­
пользуется высокое сопротивление затво­
ра. Пусть вам нужно включить уличное
освещение автоматически с наступлением
особенно при м акетны х испы таниях. темноты. Показанный на схеме фоторе­
В этом случае схема ограничения тока пре­ зистор имеет при солнечном освещении
дотвращает также возникающий на корот­ низкое сопротивление, а в темноте —высо­
кий момент при подаче +12 В в нагрузку кое. Он образует часть резистивного дели­
резкий всплеск тока короткого замыкания теля напряжения, непосредственно воз­
через первоначально незаряженный конден­ буждающего затвор транзистора (нагруз­
сатор. Попытайтесь понять, как работает ка делителя по постоянному току отсут­
эта схема ограничения тока. ствует!). Освещение включается, когда
Упражнение 3.21. Как работает схема ограничения напряжение на затворе достигает величи­
тока? Какова максимальная величина тока, пропус­ ны, обеспечивающей ток стока, достаточ­
каемая ей в нагрузку? Для чего резистор в цепи стока ный для включения реле. Внимательный
я-канального МОП-транзистора разделен на два? читатель может заметить, что эта схема
Ограниченная величина напряж ения не особенно точна и стабильна; тут все
пробоя затвора МОП-транзисторов (обыч­ в порядке, поскольку сопротивление ф о­
но ±20 В) может создать здесь реальную торезистора при наступлении темноты
проблему, если вы попытаетесь заставить изменяется колоссальным образом (ска­
эту схему работать от источника питания жем, с 10 кОм до 10 МОм). При этом
с более высоким напряжением. В этом малая точность и стабильность порога
случае можно заменить резистор 100 кОм означает лишь то, что свет может вклю­
на 10 кОм (что позволит работать при читься несколькими минутами раньше или
питании до 40 В) или выбрать другое при­ позже. Заметим, что в течение времени,
емлемое соотношение номиналов двух пока смещение затвора медленно нарас­
схемных резисторов, такое, чтобы напря­ тает, превысив пороговое значение, на
жение возбуждения затвора VP12 всегда М ОП-транзисторе будет рассеиваться н е­
было меньше 20 В. которая мощность, так как при этом он
176 Глава 3

+10 в

Рис. 3.73. Мощные переключатели, работающие от окружающего освещения.


+12 В
Ю -1 2 А

Обмотка возбуждения
200 кГц, 200 Вт

_л_п_
200 кГц

Рис. 3.74. Мощный возбудитель пьезокристалла на МОП-транзисторах.


+1 кВ

Схема защиты
Рис. 3.75. Возбудитель пьезокристалла малой мощности на 1 кВ.
Полевые транзисторы 177

работает в линейном режиме. Эту про­ тысяч долларов каждый, если бы мы их


блему устраняет схема на рис. 3.73,6, где покупали. Мы реш или свои проблемы
пара каскадно включенных М ОП-тран- представленной здесь схемой. BUZ-50 В —
зисторов обеспечивает намного более вы­ это недорогой (4 долл.) МОП-транзистор,
сокий коэффициент усиления, чему спо­ хорошо подходящий для работы при 1 кВ
собствует также некоторая положитель­ и 2 А. Первый транзистор — инвертиру­
ная обратная связь через резистор 10 МОм; ющий усилитель с общим истоком, воз­
этот последний заставляет схему при дос­ буждающий истоковый повторитель. На
тижении порога регенеративным образом я-/?-я-транзисторе собран токовый огра­
опрокидываться. ничитель; это может быть низковольтное
На рис. 3.74 дана реально работающая устройство, поскольку он привязан к по­
схема на мощном М ОП-транзисторе — тенциалу выхода и плавает вместе с ним.
200-ватгный усилитель для возбуждения У этой схемы есть одна не бросающаяся
погруженного в воду электрического пре­ в глаза особенность — по сути, это пуш-
образователя с частотой 200 кГц. Здесь пульная схема, хотя она и выглядит как
мы использовали пару больших и-каналь- однополярная. Необходим достаточно
ных МОП-транзисторов, включающихся большой ток (определите, какой величи­
и выключающихся попеременно, так что н ы ? ), чтобы о б е с п е ч и т ь «н акач к у »
в первичной обмотке (высокочастотного) 10 000 пФ со скоростью где-то около
т р а н с ф о р м а т о р а с о зд а е т с я си гн а л 2 В/мкс; выходной транзистор может обес­
возбуждения переменного тока. Б и по­ печить подачу такого тока, однако резис­
лярные двухтактные схемы возбуждения тор в нижнем плече не обеспечит его
затворов с небольшими резисторами в отвод (вернитесь к разд. 2.15, где мы
цепях затворов необходимы для того, что­ мотивировали необходимость пушпуль-
бы исключить емкостную нагрузку, так ной схемы для реш ения подобной же
как М ОП-транзисторы должны полнос­ проблемы). В данной схеме выходной
тью включаться за время несколько мень­ тран зи стор обесп ечи вает второй такт
ше 1 мкс. (прием тока) через диод, вклю ченный
И наконец, на рис. 3.75 мы представи­ между истоком и затвором! Остальная
ли пример линейной схемы на мощных часть схемы обеспечивает обратную связь
МОП-транзисторах. Керамические пье­ (с помощью ОУ) - тема, которую мы за­
зоэлектрические преобразователи часто претили себе трогать до следующей гла­
используются в оптических системах для вы; в данном случае магическая обратная
осуществления небольших управляемых связь делает всю схему в целом линейной
перемещений; например, в адаптивной (100 В выхода на 1 В входа), тогда как в
оптике можно применить пьезоэлектри­ ее отсутствие выходное напряжение зави­
чески управляемое «эластичное зеркало» село бы от (нелинейной) характеристики
для компенсации локальных изменений /с — /зи входного транзистора.
коэффициента преломления атмосферы.
Пьезопреобразователи прекрасно ведут 3.15. Необходимые предосторожности
себя в эксплуатации благодаря своей вы­ в обращении с МОП-транзисторами
сокой износостойкости. К несчастью ,
чтобы вызвать в них заметные перемеще­ Затвор М ОП-транзистора изолирован от
ния, требуется напряжение не ниже ки­ канала слоем стекла (S i0 2) толщиной в
ловольта. Кроме того, они имеют очень несколько тысяч ангстрем (1 А = 0,1 нм).
высокую емкость (типичное значение В результате мы имеем очень высокое
0,01 мкФ и более) и механический резо­ сопротивление, но не имеем резистивной
нанс в килогерцевом диапазоне, а пото­ или полупроводниковой цепи для стока
му как нагрузка они отвратительны. Нам заряда статического электричества по мере
требовалось множество таких силовых его н ако п л ен и я. В кл асси ческой с и ­
усилителей, которые по тем или иным туации вы берете М ОП-транзистор (или
причинам обошлись бы нам по несколько МОП-транзисторную ИМ С) в руку, под-
178 Глава 3

Таблица 3.7. Т ипичны е значения


электростатического напряж ения
(по данны м из справочника ф ирм ы
M otorola по мощ ны м М О П -транзисторам )
Механизм Электростатическое
напряжение, В
Влажность Влажность
10-20% 65-90%
Ходьба по ковру 35 000 1500
Ходьба по линолеуму 12000 250
Работа на электромонтаж­
ном столе 6000 100
Одевание кембриковых
трубок 7 000 600
Пластиковые пакеты 20000 1 2 00
Работа на стуле с обтяжкой 18 000 1 500
ходите к схеме, вставляете устройство
в разъем, включаете питание, и все это
только для того, чтобы обнаружить —
МОП-транзистор мертв. А убили его вы Рис. 3.76. Сканирующая электронная микрофото­
сами! Вам следовало взяться другой ру­ графия высокого разрешения (х 1200 ) 6 -амперного
кой за печатную схему, прежде чем встав­ МОП-транзистора, разрушенного зарядом в 1 кВ,
лять в нее устройство. Таким образом был от «эквивалента человеческого тела» (1,5 кОм, вклю­
бы снят ваш статический заряд, который ченного последовательно с емкостью 100 пФ), при­
зимой может достигать нескольких тысяч ложенного к его затвору. (С разрешения фирмы
Motorola, Inc.).
вольт. МОП-транзистор не любит, когда
его «стукает током». Как проводник ста­
тического электричества, вы представля­ было бы ошибкой; ближе к получаемой
ете собой последовательное соединение картине будет вы разительны й терм ин
конденсатора 100 пФ и резистора около «прободение».
1,5 кОм; зимой этот конденсатор может В электронной промышленности про­
зарядиться до 10 кВ и более от трения блема электростатического разряда стоит
подошв о пушистый ковер (даже простое очень серьезно. Возможно, она является
движение руки в рукаве рубашки или сви­ лидирующей среди причин, по которым
тера может дать напряжение в несколько на выходе линии сборки появляются не­
киловольт; см. табл. 3.7). работоспособные полупроводниковые ус­
Хотя любое полупроводниковое уст­ тройства. На данную тему написаны це­
ройство можно вывести из строя хорошей лые книги, и вы может с ними ознако­
искрой, однако устройства на М ОП-тран­ миться. М О П -приборы , так же как и
зисторах особенно чувствительны к про­ другие чувствительные к электростатике
бою, поскольку энергия, запасаемая в ем­ полупроводниковые устройства (а сюда
кости затвор—канал, при достижении на­ относятся почти все они; например, все­
пряжения пробоя становится достаточной го в 10 раз большее, чем М ОП-транзис­
для того, чтобы пробить отверстие в тон­ торы, напряжение выдерживает биполяр­
ком слое изоляции затвора. (Если эта ный транзистор), можно перевозить в про­
искра проскакивает от вашего пальца, то водящей фольге или упаковке. Следует
ваши 100 пФ лишь вносят дополнитель­ также быть осторожными при работе с па­
ный вклад в этот процесс.) Рис. 3.76 (взя­ яльником и т. д. Лучше всего заземлять
тый из серии тестовых испытаний мощ­ корпуса паяльников, крыш ки столов и
ного М ОП-транзистора на стойкость к т.п., а также пользоваться проводящим
электростатическому разряду) показы ­ браслетом. Кроме того, можно исполь­
вает, какого рода неприятности могут зовать «антистатические» покрытие пола,
произойти. Назвать это «пробоем затвора» обивку мебели и даже одежду (например.
Полевые транзисторы 179

антистатический халат из ткани, содер­ ренней защиты, состоящие из резисторов


жащей 2% стального волокна). Хорошая и обратно вклю ченны х (иногда зене-
организация рабочего помещения и про­ ровских) диодов, несколько ухудшают
цесса производства включает регулиров­ параметры, часто их все же надо приме­
ку влажности, применение ионизаторов нять для уменьшения риска повреждения
воздуха (которые делают воздух в слабой статическим электричеством. В случае
степени электропроводным, что препят­ незащищенных устройств, например мощ­
ствует накоплению зарядов на предметах), ных М О П -транзисторов, устройства с
а также обученный персонал. Если этого малой площадью затвора (слаботочные)
нет, то зимой степень выхода годных из­ подвергаются наибольшей опасности по­
делий катастрофически падает. вреждения, поскольку их малая входная
Как только устройство впаяно на свое емкость легко заряжается до высокого
место в схеме, шансы на его поврежде­ напряжения, когда она входит в контакт
ние резко падают, тем более что многие с заряженной емкостью человека 100 пФ.
МОП-транзисторные устройства (такие, Наш собственный опыт работы с МОП-
например, как логические К М ОП-уст- транзистором VN13, имею щ им малую
ройства, но не мощные М ОП-транзисто­ площадь затвора, был настолько удруча­
ры) имеют предохранительные диоды во ющим, что мы больше не используем его
входных цепях затворов. Хотя цепи внут­ в промышленных разработках.

МАХ310
7
— 6 МАХ450
—- 5
Входы — 4
видео- <—
3
сигналов
— 2
^ 75 Ом
— 1 (Компоненты,
0 задающ ие КУ,
не показаны)

"Вы бор входа"

+1/пл при "в ы со ко м " входе


+U - 1 4 В при "н и зк о м " входе
Управление

Вход: --------
Вых. Высокий: U>4B
Низкий: 11<0,8В

Напряжение
поднимается
до +200 В при
"н и зко м " входе

Рис. 3.77. а - повторитель с большим входным сопротивлением; б — видеомультиплексор на МОП-транзисторах


с усилителем, компенсирующим потери на /? ; в — переключение сигнала с использованием диодного моста —
альтернатива полевым транзисторам; г — логический переключатель для /7-канального высоковольтного ключа
(НТО1-преобразователь уровня ТТЛ в высокое напряжение фирмы Supertex; 8 в одном корпусе).
180 Глава 3

+ 10 В
20 В
I
Н а гр у зка

- f
;_ п _

Вход — Вход

1 aT L Выход

Ту Тг: согласованные
п-канальные обогащ ен­
ные МОП-транзисторы

Рис. 3.78. а — аналоговые ключи; б - усилитель с коэффициентом усиления 1000; в - логический ключ;
г — комплементарный инвертор на П Т с р —я-переходом; д — повторитель с нулевым сдвигом.

Трудно переоценить проблему повреж­ СХЕМЫ, НЕ ТРЕБУЮЩИЕ ПОЯСНЕНИИ


дения затвора М ОП-транзистора вслед­ 3.16. Удачные схемы
ствие его пробоя статическим электриче­
ством. К счастью, разработчики М ОП- На рис. 3.77 представлена подборка хо­
транзисторов осознают серьезность этой роших схем на ПТ.
проблемы и отвечают на нее новыми раз­
работками с более высоким напряжени­ 3.17. Негодные схемы
ем пробоя затвор-исток. Например, ф ир­
ма M otorola вы пустила новую серию На рис. 3.78 дана подборка некоррект­
ТМОП IV с напряжением пробоя затвор- ных схемных идей; в некоторых из этих
исток ± 50 В. схем имеются кое-какие тонкости. Можно
многому научиться, разбираясь, почему
эти схемы не будут работать.
ГЛАВА 4

ОБРАТНАЯ СВЯЗЬ И ОПЕРАЦИОННЫЕ


УСИЛИТЕЛИ

связь уменьшает коэффициент усиления,


ВВЕДЕНИЕ но при этом она улучшает другие пара­
метры схемы, например, устраняет иска­
Понятие «обратная связь» (ОС) относит­ жения и нелинейность, сглаживает час­
ся к числу распространенных, оно давно тотную характеристику (приводит ее в со­
вышло за рамки узкой области техники и ответствие с нужной характеристикой),
употребляется сейчас в широком смыс­ делает поведение схемы предсказуемым.
ле. В системах управления обратная связь Чем глубже отрицательная обратная связь,
используется для сравнения выходного тем меньше внешние характеристики уси­
сигнала с заданным значением и выпол­ лителя зависят от характеристик усилите­
нения соо тветству ю щ ей к о р р е к ц и и . ля с разомкнутой обратной связью (без
В качестве «системы» может выступать что ОС), и в конечном счете оказывается, что
угодно, например, процесс управления они зависят только от свойств самой схе­
движущимся по дороге атомобилем — за мы ОС. Операционные усилители обыч­
выходными данными (положением маши­ но используют в режиме глубокой обрат­
ны и ее скоростью) следит водитель, ко­ ной связи, а коэффициент усиления по
торый сравнивает их с ожидаемыми зна­ напряжению в разомкнутой петле ОС (без
чениями и соответственно корректирует ОС) достигает в этих схемах миллиона.
входные данные (с помощью руля, пере­ Цепь ОС может быть частотно-зависи­
ключателя скоростей, тормоза). В уси­ мой, тогда коэффициент усиления будет
лительной схеме выходной сигнал должен определенным образом зависеть от часто­
быть кратен входному, поэтому в усили­ ты (примером может служить предусили­
теле с обратной связью входной сигнал тель звуковых частот в проигрывателе со
сравнивается с определенной частью вы­ стандартом RIAA); если же цепь ОС явля­
ходного сигнала. ется амплитудно-зависимой, то усилитель
обладает нелинейной характеристикой
4.01. Предварительные сведения (распространенным примером такой схе­
об обратной связи мы служит логарифмический усилитель,
в котором в цепи ОС используется лога­
Отрицательная обратная связь - это про­ рифмическая зависимость напряж ения
цесс передачи выходного сигнала обрат­ и ьз от тока / к в диоде или транзисторе).
но на вход, при котором погашается часть Обратную связь можно использовать для
входного сигнала. Может показаться, что формирования источника тока (выходной
это глупая затея, которая приведет лишь импеданс близок к бесконечности) или
к уменьшению коэффициента усиления. источника напряжения (выходной импе­
Именно такой отзыв получил Гарольд данс близок к нулю), с ее помощью мож­
С. Блэк, который в 1928 г. попытался но получить очень большое или очень ма­
запатентовать отрицательную обратную лое входное со п р о ти вл е н и е. Вообщ е
связь. «К нашему изобретению отнеслись говоря, тот параметр, по которому вво­
так же, как к вечному двигателю» (жур­ дится обратная связь, с ее помощью улуч­
нал IEEE Spectrum за декабрь 1977 г.). шается. Н апример, если для обратной
Действительно, отрицательная обратная связи использовать сигнал, пропорцио­
182 Глава 4

нальный выходному току, то получим хо­


роший источник тока.
Обратная связь может быть и положи­
тельной; ее используют, например в гене­
раторах. Как ни странно, она не столь
Рис. 4.1.
полезна, как отрицательная ОС. Скорее
она связана с неприятностями, так как в когда потенциал на входе ( + ) становит­
схеме с отрицательной ОС на высокой ча­ ся более положительным, чем потенциал
стоте могут возникать достаточно большие на входе ( —), и наоборот. Символы «+»
сдвиги по фазе, приводящие к возникно­ и «-» не означают, что на одном входе
вению положительной ОС и нежелатель­ потенциал всегда должен быть более по­
ным автоколебаниям. Для того чтобы эти ложительным, чем на другом; эти симво­
явления возникли, не нужно приклады­ лы просто указывают относительную фазу
вать большие усилия, а вот для предотв­ выходного сигнала (это важно, если в схе­
ращения нежелательных автоколебаний ме используется отрицательная ОС). Во
прибегают к методам коррекции, о кото­ избежание путаницы лучше называть вхо­
рых мы немного поговорим в конце этой ды « и н в ер ти р у ю щ и й » и « н е и н в е р ­
главы. тирующий», а не вход «плюс» и вход «ми­
После этих общих замечаний рассмот­ нус». На схемах часто не показывают под­
рим несколько примеров использования ключение источников питания к ОУ и
обратной связи в операционных усили­ вывод, предназначенный для заземления.
телях. Операционные усилители обладают колос­
сальным коэф ф ициентом усиления по
4.02. Операционные усилители напряжению и никогда (за редким исклю­
чением) не используются без обратной
В большинстве случаев, рассматривая схе­ связи. Можно сказать, что операционные
мы с обратной связью, мы будем иметь усилители созданы для работы с обрат­
дело с оп ерац и онн ы м и усилителям и. ной связью. Коэффициент усиления схе­
Операционный усилитель (ОУ) - это диф­ мы без обратной связи так велик, что при
ференциальный усилитель постоянного наличии замкнутой петли ОС характерис­
тока с очень большим коэффициентом тики усилителя зависят только от схемы
усиления и несимметричным выходом. обратной связи. Конечно, при более под­
Прообразом ОУ может служить классичес­ робном изучении должно оказаться, что
кий дифференциальный усилитель (разд. такое обобщенное заключение справед­
2.18) с двумя входами и несимметричным ливо не всегда. Начнем мы с того, что
выходом; правда, следует отметить, что просто рассмотрим, как работает операци­
реальные операционные усилители обла­ онный усилитель, а затем по мере необ­
дают значительно более высокими коэф ­ ходимости будем изучать его более тщ а­
фициентами усиления (обычно порядка тельно.
105—106) и меньшими выходными импе- Промышленность выпускает буквально
дансами, а также допускают изменение сотни типов операционных усилителей,
выходного сигнала почти в полном диа­ которые обладают различными преимуще­
пазоне питающего напряжения (обычно ствами друг перед другом (загляните в
используют расщ епленны е источники табл. 4.1, если вам не терпится окинуть
питания ±15 В). Промышленность выпус­ взглядом это море возможностей). П о­
кает сейчас сотни типов операционных всем естное распространение получила
усилителей; условное обозначение, при­ очень хорошая схема типа LF411 (или про­
нятое для всех типов, представлено на сто «411»), представленная на рынок фир­
рис. 4.1; входы обозначают ( + ) и ( - ) , и мой National Sem iconductor. Как и все
работают они, как можно догадаться, сле­ операционные усилители, она представ­
дующим образом: выходной сигнал изме­ ляет собой крошечный элемент, разме­
няется в положительном направлении, щенный в миниатюрном корпусе с двух-
Обратная связь и операционные усилители 183

точки отсчета при нумерации выводов.


В больш инстве корпусов электронны х
схем нумерация выводов осуществляется
в направлении против часовой стрелки со
стороны крышки корпуса. Выводы «ус­
тановка нуля» (или «баланс», «регулиров­
ка») служат для устранения небольшой
асимметрии, возможно в операционном
усилителе. Речь об этом пойдет позже в
этой главе.

4.03. Важнейшие правила


Рис. 4.2. Интегральная схема в корпусе мини-DIP
с двухрядным расположением выводов. Сейчас мы познакомимся с важнейшими
правилами, которые определяют поведение
рядным расположением выводов; ее вне­ операционного усилителя, охваченного пет­
шний вид показан на рис. 4,2. Эта схема лей обратной связи. Они справедливы по­
недорога и удобна в обращении; промыш­ чти для всех случаев жизни.
ленность выпускает улучшенный вариант Во-первых, операционны й усилитель
этой схемы (LF41IA), а также элемент, обладает таким большим коэффициентом
размещенный в миниатюрном корпусе и усиления по напряжению, что изменение
содержащий два независимых операцион­ напряжения между входами на несколько
ных усилителя (схема типа LF412, кото­ долей милливольта вызывает изменение
рую называют также «сдвоенный» опера­ выходного напряж ения в пределах его
ционный усилитель). В дальнейш ем в полного диапазона, поэтому не будем рас­
этой главе мы будем использовать схему сматривать это небольшое напряжение, а
типа LF411 как стандарт операционного сформулируем правило I:
усилителя, мы также рекомендуем вам эту I. Выход операционного усилителя стре­
схему в качестве хорошей начальной сту­ мится к тому, чтобы разность напряже­
пени в разработке электронных схем. ний между его входами была равна нулю.
Схема типа 411 —это кристалл кремния, Во-вторых, операционны й усилитель
содержащий 24 транзистора (21 б ип о­ потребляет очень небольшой входной ток
лярный транзистор, 3 полевых транзисто­ (ОУ типа LF411 потребляет 0,2 нА; ОУ со
ра, 11 резисторов и 1 конденсатор). На входами на полевых транзисторах — по­
рис. 4.3 показано соединение с выводами рядка пикоампер); не вдаваясь в более глу­
корпуса. Точка на крышке корпуса и вы­ бокие подробности, сформулируем пра­
емка на его торце служат для обозначения вило II:
II. Входы операционного усилителя ток
• не потребляют.
Уста­ Здесь необходимо дать пояснение: пра­
новка
нуля С О Не присо­
единен вило I не означает, что операционный
усилитель действительно изменяет напря­
И нвертиу Ut (обыч­ жение на своих входах. Это невозможно.
рующий
вход
O но
+ 1 5 В)
(Это было бы несовместимо с правилом
II.) Операционный усилитель «оценива­
Неинверу ет» состояние входов и с помощью внеш ­
тирую- ^ Выход
щий вход ней схемы ОС передает напряжение с вы­
хода на вход, так что в результате разность
U (обы чу
Уста- напряжений между входами становится
но (
) новка
-1 5 В) 4
нуля равной нулю (если это возможно).
Э ти п р ав и л а со зд аю т д остаточ н ую
рис. 4.3 основу для рассмотрения схем на опера-
184 Глава 4

ционных усилителях. О предосторож ­ Что произойдет? Резисторы /?, и R2 обра­


ностях, которые необходимо соблюдать зуют делитель напряжения, с помощью
при работе с ОУ, мы поговорим в разд. которого п отен ци ал и нвертирую щ его
4.08, после того как рассмотрим основ­ входа поддерж ивается равным 0,91 В.
ные схемы включения ОУ. О п ер ац и о н н ы й уси л и тел ь ф и кси р у ет
рассогласование по входам, и напряже­
ОСНОВНЫЕ СХЕМЫ ВКЛЮЧЕНИЯ ние на его выходе начинает уменьшать­
ОПЕРАЦИОННЫХ УСИЛИТЕЛЕЙ ся. Изменение продолжается до тех пор,
пока выходное напряжение не достигнет
4.04. Инвертирующий усилитель значения — 10 В, в этот момент потенци­
алы входов ОУ станут одинаковыми и рав­
Рассмотрим схему на рис. 4.4. Проана­ ными потенциалу земли. А налогично,
лизировать ее будет нетрудно, если вспом­ если напряжение на выходе начнет умень­
нить сформулированные выше правила: шаться и дальше и станет более отрицатель­
1. Потенциал точки В равен потенциалу ным, чем —10 В, то потенциал на инвер­
земли, следовательно, согласно правилу I, тирующем входе станет ниже потенциала
потенциал точки А также равен потенци­ земли, в результате выходное напряжение
алу земли. начнет расти.
2. Это означает, что: а) падение напряже­ Как определить входной импеданс рас­
ния на резисторе R2 равно £/вых, б) паде­ сматриваемой схемы? Оказывается, про­
ние напряжения не резисторе /?, равно сто. П отенциал точки А всегда равен
0 В (так называемое мнимое заземление,
3. Воспользовавшись теперь правилом II, или квазинуль сигнала). Следовательно,
получим £/вых/Л 2= —Ubx/ R { или к о э ф ­ Z bx = Rv П ока вы еще не знаете, как
фициент усиления по напряжению = UluJ подсчитать выходной импеданс; для этой
/U m= - R 2/ R r Позже вы узнаете, что схемы он равен нескольким долям ома.
чаще всего точку В лучше заземлять не Следует отметить, что полученные ре­
непосредственно, а через резистор. Одна­ зультаты справедливы и для сигналов
ко сейчас это не имеет для вас значения. постоянного тока — схема представляет
Итак, анализ схемы на ОУ оказался даже собой усилитель постоянного тока. По­
чересчур простым. Он, правда, не позво­ этому, если источник сигнала смещ ен
ляет судить о том, что на самом деле про­ относительно земли (источником являет­
исходит в схеме. Для того чтобы понять, ся, наприм ер, коллектор предыдущего
как работает обратная связь, представим каскада), у вас может возникнуть желание
себе, что на вход подан некоторый уро­ использовать для связи каскадов конден­
вень напряжения, скажем 1 В. Для конк­ сатор (иногда такой конденсатор назы ­
ретизации допустим , что резистор R x вают блокирующим, так как он блокирует
имеет сопротивление 10 кОм, а резистор сигнал постоянного тока, а передает сиг­
R2 -100 кОм. Теперь представим себе, что нал переменного тока). Немного позже
напряжение на выходе решило выйти из (когда речь пойдет об отклонениях харак­
повиновения и стало равно 0 В. теристик ОУ от идеальных), вы узнаете,
что в тех случаях, когда интерес пред­
ставляют только сигналы переменного
тока, вполне допустим о использовать
блокирующие конденсаторы.
С хема, которую мы рассм атриваем ,
называется инвертирующим усилителем.
Недостаток этой схемы состоит в том, что
она обладает малым входным импедан­
сом, особенно для усилителей с большим
коэффициентом усиления по напряжению
Рис. 4.4. Инвертирующий усилитель. (при замкнутой цепи ОС), в которых ре-
Обратная связь и операционные усилители 185

ного тока (очень небольшого по величине)


нужно предусмотреть заземление, как по­
казано на рис. 4.6. Для представленных на
схеме величин компонентов коэффициент
усиления по напряжению равен 10, а точ­
ке — 3 дБ соответствует частота 16 Гц.
Усилитель переменного тока. Если уси­
ливаются только сигналы переменного
тока, то можно уменьшить коэффициент
усиления для сигналов постоянного тока
Рис. 4.5. Неинвертирующий усилитель. до единицы, особенно если усилитель
обладает большим коэффициентом усиле­
зистор Rv как правило, бывает неболь­ ния по н ап р яж ен и ю . Это п о зво л яет
шим. Этот недостаток устраняет схема, уменьшить влияние всегда существующе­
представленная на рис. 4.5. го конечного «приведенного ко входу на­
пряжения сдвига». Для схемы, представ­
4.05. Неинвертируниций усилитель ленной на рис. 4.7, точке — 3 дБ соответ­
ствует частота 17 Гц; на этой частоте
Рассмотрим схему на рис. 4.5. Анализ ее импеданс конденсатора равен 2,0 кОм.
крайне прост: £/д = Um. Напряжение Uk Обратите внимание, что конденсатор дол­
снимается с делителя напряжения: UA = жен быть большим. Если для построения
= tfBblx/? ,/( /? ,+ Я2). Если £/А= и ю, то усилителя переменного тока использовать
коэффициент усиления = U%ux/U m = 1 + неинвертирующий усилитель с большим
+ R2/ R v Это неинвертирующий уси ли ­ усилением, то конденсатор может оказать­
тель. В приближении, которым мы вос­ ся чрезмерно большим. В этом случае
пользуемся, входной импеданс этого уси­ лучше обойтись без конденсатора и на­
лителя бесконечен (для ОУ типа 411 он строить напряжение сдвига так, чтобы
составляет 1012 Ом и больше, для ОУ на оно было равно нулю (этот вопрос мы
биполярных транзисторах обычно превы­ рассмотрим позже в разд. 4.12). Можно
шает 108 Ом). Выходной импеданс, как воспользоваться другим методом — уве­
и в предыдущем случае, равен долям ома. личить сопротивления резисторов Л, и R2
Если, как в случае с инвертирующим уси­ и использовать Т-образную схему делите­
лителем, мы внимательно рассмотрим по­ ля (разд. 4.18).
ведение схемы при изменении напряжения Несмотря на высокий входной импе­
на входах, то увидим, что она работает, данс, к которому всегда стремятся раз­
как обещано. работчики, схеме неинвертирующего уси­
Эта схема также представляет собой лителя не всегда отдают предпочтение пе­
усилитель постоянного тока. Если источ­ ред схемой инвертирующего усилителя. Как
ник сигнала и усилитель связаны между
собой по переменному току, то для вход­

Р ис. 4 .6 . Р ис. 4.7.


186 Глава 4

мы увидим в дальнейшем, инвертирую­ 4.07. Источники тока


щий усилитель не предъявляет столь вы­
соких требований к ОУ и, следовательно, На рис. 4.9 изображена схема, которая
обладает несколько лучшими характери­ является хорошим приближением к иде­
стиками. Кроме того, благодаря мнимо­ альному источнику тока, без сдвига на­
му заземлению удобно комбинировать сиг­ пряж ения и ъэ, характерного для тран ­
налы без их взаимного влияния друг на зисторного источника тока. Благодаря
друга. И наконец, если рассматриваемая отрицательной ОС на инвертирующем
схема подключена к выходу (стабильно­ входе поддерживается напряж ение Um,
му) другого ОУ, то величина входного под действием которого через нагрузку
импеданса для вас безразлична — это мо­ протекает ток / = UmR. Основной недо­
жет быть 10 кОм или бесконечность, так статок этой схемы состоит в том, что на­
как в любом случае предыдущий каскад грузка является «плавающей» (она не за­
будет выполнять свои функции по отно­ землена). С помощью такого источника
шению к последующему. тока нельзя, например, получить пригод­
ный к использованию пилообразный сиг­
4.06. Повторитель нал, напряжение которого отсчитывалось
бы относительно потенциала земли. Этот
На рис. 4.8 представлен повторитель, по­ н ед о стато к м ож но п реод олеть, если ,
добный эмитгерному, на основе опера­ например, всю схему (источники питания
ционного усилителя. Он представляет и все остальное) сделать «плавающей», а
собой не что иное, как неинвертирующий нагрузку заземлить (рис. 4.10). Ш трихо­
усилитель, в котором сопротивление ре­ вой линией обведен рассмотренный выше
зистора /?, равно бесконечности, а сопро­
тивление резистора /?2 — нулю (коэффи­
циент усиления =1). Существуют сп е­ U-
(напряжение, снима­
циальные операционные усилители, пред­ емое с делителя
назначенные для использования только в напряжения, -
качестве повторителей, они обладаю т или сигнал)
Нагруз­
улучшенными характеристиками (в ос­ ка
новном более высоким быстродействием),
примером такого операционного уси­
лителя является схема типа LM310 или Рис. 4.9.
ОРА633, а также схемы упрощенного ти­
па, например схема типа TL068 (она вы­
пускается в транзисторном корпусе с тре­
мя выводами).
Усилитель с единичным коэффициен­
том усиления называют иногда буфером,
так как он обладает изолирующими свой­
ствами (большим входным импедансом и
малым выходным).

Вход

Выход

Рис. 4.10. Источник тока с заземленной нагрузкой


Рис. 4.8. Повторитель и плавающим источником питания.
Обратная связь и операционные усилители 187

источник тока с источниками питания. и т. п. Несовершенство этого источника


Резисторы Л, и R2 образуют делитель тока (не будем принимать во внимание
напряжения для установки тока. Чтобы ошибки ОУ: / м, Ucrb) проявляется лишь
вид этой схемы не смущал вас, напом­ в том, что небольшой базовый ток может
ним, что «земля» — это понятие относи­ немного изменяться в зависимости от на­
тельное. Любую точку в схеме можно на­ пряжения и кэ (предполагаем, что опера­
звать «землей». Представленную схему ционный усилитель не потребляет вход­
используют для ф орм ирования токов, ной ток); этот недостаток — небольшая
протекающих через заземленную нагруз­ плата за возможность использования за­
ку, но ее существенный недостаток в том, зем ленн ой нагрузки; если в качестве
что управляющий вход является плаваю­ транзистора Г, использовать составной
щим, это значит, что выходной ток нельзя транзистор Дарлингтона, то погрешность
задать (запрограммировать) с помощью будет существенно уменьшена. Погреш­
входного напряжения, отсчитываемого от ность возникает в связи с тем, что опера­
потенциала земли. Методы устранения ционный усилитель стабилизирует эмит­
этого недостатка изложены в той части терный ток, а в нагрузку поступает коллек­
гл. 6, где рассматриваются источники пи­ торный ток. Если в этой схеме вместо
тания постоянного тока. биполярного использовать полевой тран­
Источники тока для заземленных нагру­ зистор, то проблем а будет полностью
зок. С помощью операционного усилите­ решена, так как затвор полевого транзис­
ля и подключенного к нему транзистора тора тока не потребляет.
можно построить простой и высококаче­ В рассматриваемой схеме выходной ток
ственный источник тока для заземленной пропорционален величине, на которую
нагрузки; небольшое дополнение к схеме напряжение, приложенное к неинверти­
операционного усилителя позволяет и с­ рующему входу операционного усилите­
пользовать на управляющем входе напря­ ля, ниже, чем напряжение питания UKK;
жение, измеряемое относительно земли иными словами, напряжение, с помощью
(рис. 4.11). В первой схеме обратная связь которого программируется работа схемы,
создает на резисторе R падение напря­ изм еряется относительно напряж ения
жения, равное Um — Um, которое в свою питания UKK, и все будет в порядке, если
очередь порождает эмиттерный ток (а напряжение UK является фиксированным
следовательно, и выходной ток), равный и формируется с помощью делителя н а­
h = ( ^кк — Ю /К - При работе с этой пряжения; если же напряжение на вход
схемой не приходится беспокоиться о н а­ должно подаваться от внешнего источни­
пряжении 0 Ъэ и его изменениях, связан­ ка, то возможны неприятности. Этого
ных с изменениями температуры, / к, UK3 недостатка лиш ена вторая схема, в кото-

Рис. 4.11. Источники


тока с заземленными
нагрузками, не требую­
щие плавающего источ­
ника питания.
188 Глава 4

рой аналогичный первый источник тока ОУ и транзисторов. Преимущество этой


с транзистором п —р -я -ти п а служит для схемы состоит в том, что базовый ток,
преобразования входного управляющего приводящий к ошибке в случае исполь­
напряжения (измеряемого относительно зования полевых транзисторов, здесь ра­
земли) во входное напряжение, измеряе­ вен нулю, выходной ток не ограничивает­
мое относительно UKK, для оконечного ся значением / СИ(ВКЛ). В этой схеме (ф ак­
источника тока. Операционные усилите­ тически —это не источник, а потребитель
ли и транзисторы недороги, поэтому за­ тока) транзистор Т2 начинает проводить,
помните такой совет: не раздумы вая, когда через транзистор Тх протекает ток
включайте в схему дополнительные ком­ стока величиной приблизительно 0,6 мА.
поненты, если они позволяют улучшить При минимальном значении / си для Tj,
ее работу и упрощают разработку. равном 4 мА, и подходящем значении
Одно существенное замечание, касаю­ Р для Т2 величина тока, протекающего
щееся последней схемы: операционный через нагрузку, может достигать 100 мА
усилитель должен работать при условии, и более (для получения больших токов
что напряжение на его входах близко или транзистор Тг можно заменить транзис­
равно положительному питающему напря­ тором Дарлингтона, при этом нужно со­
жению. Подойдут интегральные операци­ ответственно уменьшить Л,). В данной
онны е усилители ти п а 307, 355 или схеме были использованы полевые тран­
ОР-41. А льтернативный вариант — и с­ зисторы с /?-«-переходом, но еще лучше
пользование для питания ОУ отдельного было бы использовать полевые М О П -
источника напряжения U+, превышающего транзисторы, так как для ОУ на полевых
напряжение (JKK. транзисторах с ^-«-переходом требуется
расщепленный источник питания, обес­
Упражнение 4.1. Для последней схемы определите печивающий диапазон напряжения на зат­
выходной ток для заданного входного напряжения
воре, достаточный для перехода транзис­
На рис. 4.12 представлен интересный тора в режим отсечки. Ничего не стоит
вариант схемы источника тока на основе с помощью простого мощного полевого
М О П -тран зи стора (М О П -структура с
V-образной канавкой) получить ток по­
больше, однако мощным полевым тран­
зисторам присущи большие межэлектрод-
ные ем кости , а п редставленная здесь
гибридная схема как раз и позволяет пре­
одолеть связанные с этим проблемы.
Источник тока Хауленда. На рис. 4.13
п оказан красивы й учебны й и сточни к
тока. Если резисторы подобраны таким
образом, что выполняется соотношение
R J R 2 = R J R {, то можно показать, что
справедливо равенство: / н = - U J R T
Упражнение 4.2. Покажите, что приведенное выше
равенство справедливо.
Эта схема всем хороша, кроме одного:
резисторы должны быть точно согласова­
ны, иначе источник тока будет далек от
совершенства. Но даже при выполнении
этого условия определенные ограничения
накладывает коэффициент КОСС опера­
Рис. 4.12. Источник тока на полевых/биполярных ционного усилителя. При больших вы­
транзисторах, предназначенный для больших токов. ходных токах резисторы должны быть не-
Обратная связь и операционные усилители 189

раничение накладывается на выходной ди­


апазон устойчивости источника тока на ос­
нове операционного усилителя. Напри­
мер, в источнике тока с плавающей на­
грузкой максимальное падение н ап ря­
жения на нагрузке при «нормальном» на­
правлении тока (направление тока совпа­
дает с направлением приложенного на­
пряж ения) составляет UKK — Um, а при
обратном направлении тока (нагрузка в
таком случае может быть довольно стран­
ной, например, она может содержать пе-
реполюсованные батареи для получения
прямого тока заряда или может быть и н ­
дуктивной и работать с токами, меняю­
Рис. 4.13. Источник тока Хауленда.
щими направление) — t / x - 1/ээ.
2. Обратная связь должна быть отрицатель­
большими, тем самым ограничивается вы­ ной. Это означает (помимо всего проче­
ходной диапазон. Кроме того, на высоких го), что нельзя путать инвертирующий и
частотах (где, как мы скоро узнаем, уси­ неинвертирующий входы.
ление в цепи обратной связи невелико) 3. В схеме операционного усилителя обя­
выходной импеданс может существенно зательно должна быть предусмотрена цепь
уменьшаться —от требуемого бесконечного обратной связи по постоянному току,
значения до всего лишь нескольких сотен в противном случае операционны й уси­
ом (что соответствует выходному импедан­ литель обязательно попадет в режим на­
су ОУ с разомкнутой обратной связью). сыщения.
Хотя эта схема и хороша с виду, на прак­ Например, в неинвертирующем усили­
тике ее используют редко. теле можно было цепь обратной связи за­
землить через конденсатор (для того что­
4.08. Основные предостережения бы сделать коэффициент усиления по по­
по работе с ОУ стоянному току равным единице, рис.
4.7), но просто подключить его последо­
1. Правила I и II (сформулированные вательно между инвертирующим и неин­
в разд. 4.03) справедливы для любого вертирующим входами не могли.
операционного усилителя при условии, 4. Многие операционные усилители име­
что он находится в активном режиме, т. е. ют довольно малое предельно допустимое
его входы и выходы не перегружены. дифференциальное входное напряжение.
Например, если подать на вход усили­ Максимальная разность напряжений меж­
теля чересчур большой сигнал, то это ду инвертирующим и неинвертирующим
приведет к тому, что выходной сигнал входами может быть ограничена величи­
будет срезаться вблизи уровня UKK или ной 5 В для любой полярности напряже­
0ЭЭ. В то время когда напряж ение на ния. Если пренебречь этим условием, то
выходе оказывается фиксированным на возникнут большие входные токи, кото­
уровне напряжения среза, напряжение на рые приведут к ухудшению характеристик
входах не может не изменяться. Размах или даже к разрушению операционного
напряжения на выходе операционного усилителя.
усилителя не может быть больше диапа­ Мы продолжим этот список в разд. 4.11
зона напряжения питания (обычно раз­ и в разд. 7.06, когда будем рассматривать
мах меньше диапазона питания на 2 В, построение прецизионных схем.
хотя в некоторых ОУ размах выходного на­
пряжения ограничен одним или другим
напряжением питания). Аналогичное ог­
190 Глава 4

КАЛЕЙДОСКОП СХЕМ стоте 10 Гц, на более низких частотах на


НА ОПЕРАЦИОННЫХ УСИЛИТЕЛЯХ спаде усиления начинает сказываться вли­
яние обоих конденсаторов и ослабление
Мы предоставляем читателю право само­ оценивается величиной 12 дБ/октава. За­
стоятельно проанализировать работу при­ мечание: у вас может появиться искуше­
веденных ниже схем. ние уменьшить величину входного кон­
денсатора связи, так как его нагрузка при­
4.09. Линейные схемы вязана к высокому импедансу. Однако это
может привести к появлению пика в час­
Схема с инвертированием по выбору. Схемы, тотной характеристике, как в характери­
представленные на рис, 4.14, позволяют стике схемы активного фильтра (см. разд.
инвертировать входной сигнал или пропус­ 5.06).
кать его без инвертирования в зависимости Идеальный преобразователь тока в на­
от положения переключателя. Положение пряжение. Н апомним, что простейш ий
переключателя определяет также коэффи­ преобразователь тока в напряжение - это
циент усиления по напряжению — он мо­ всего-навсего резистор. Однако у него есть
жет быть равен или + 1, или - 1. недостаток, который состоит в том, что
для источника входного сигнала вход­
Упражнение 4.5. Покажите, что схемы, представ­ ное сопротивление такого преобразовате­
ленные на рис. 4.14, работают так, как сказано выше.
ля не равно нулю; этот недостаток может
Повторитель со следящей связью. В тран­ оказаться очень серьезным, если устрой­
зисторных усилителях на величину вход­ ство, обеспечивающее входной ток, имеет
ного импеданса могут влиять цепи сме­ очень малый выходной рабочий диапазон
щения; такая же проблема возникает при или не может обеспечить постоянство тока
использовании ОУ, особенно с межкас- при изменении выходного напряжения.
кадными связями по переменному току, Примером может служить диодный ф ото­
когда ко входу обязательно должен быть элемент (фотодиод), или солнечная ба­
подключен заземленный резистор. Схема тарея. Небольшой светочувствительно­
со следящей связью, представленная на стью обладают даже обычные диоды в про­
рис. 4.15, позволяет решить эту пробле­ зрачных корпусах, которые используются
му. Как и в транзисторной схеме со сле­ почти в любой схеме (известно немало ис­
дящей связью (разд. 2.17), конденсатор торий о загадочном поведении схем, ко­
емкостью 0,1 мкФ вместе с верхним рези­ торое в конце концов было объяснено
стором с сопротивлением 1 МОм образует этим эффектом). На рис. 4.16 представ­
для входных сигналов высокоомную вход­ лена хорошая схема для преобразования
ную цепь. Низкочастотный спад усиления тока в напряжение, в которой потенциал
для этой схемы н а ч и н а е т с я н а ч а ­ входа поддерживается строго равным по-
10 кОм

Рис. 4.14.
Обратная связь и операционные усилители 191

+15

1 МОм

Рис. 4.18. Классический дифференциальный уси­


литель.

тенциалу земли. Инвертирующий вход разработайте схему «идеального» измерителя тока


имеет квазинуль потенциала; это очень (т. е. с нулевым входным импедансом) с полным
размахом шкалы, рассчитанным на 5 мА. Разрабо­
хорошо, так как фотодиод может созда­ тайте схему так, чтобы входной сигнал никогда не
вать потенциал, равный всего нескольким превышал +150% полного размаха шкалы. Предпо­
десятым долям вольта. Представленная ложите, что диапазон выходного сигнала для ОУ типа
схема обеспечивает преобразование тока 411 составляет ±13 В (источники питания +15 В), а
в напряжение в отношении 1 В на 1 мкА внутреннее сопротивление измерительного прибора
равно 500 Ом.
входного тока. (В ОУ с биполярными
плоскостными транзисторами на входах Дифференциальный усилитель. На рис.
иногда между неинвертирующим входом и 4.18 представлена схема дифференциаль­
землей включают резистор; его функции ного усилителя, коэффициент усиления
мы определим, когда будем обсуждать которого равен R2/ R y В этой схеме, как
недостатки операционных усилителей.) и в схеме источника тока с согласован­
Безусловно, этот преобразователь тока ными резисторами, для получения высо­
в напряжение можно с таким же успехом кого значения КОСС необходимо обес­
использовать с элементами, через кото­ печить точное согласование резисторов.
рые протекает ток при наличии положи­ Для этого лучше всего при первом удоб­
тельного напряжения возбуждения, на­ ном случае создать запас резисторов с
пример UKK. В такую схему часто вклю­ сопротивлением 100 кОм и точностью
чают фотоумножители и фототранзисто­ 0,01%. К оэффициент усиления диф ф е­
ры (оба элемента под воздействием света ренциального усилителя будет равен еди­
начинают потреблять ток от положитель­ нице, но этот недостаток легко устранить
ного источника питания (рис. 4.17). за счет последующих усилительных кас­
кадов (с несимметричным входом). Бо­
Упражнение 4.4. Используя ОУ типа 411 и изме­ лее подробно дифференциальные усили­
рительный прибор на 1 мА (полный размах шкалы), тели рассмотрены в гл. 7.
192 Глава 4

10 кОм снимателя по стандарту RIAA представляет


собой прим ер усилителя с частотной
характеристикой особого вида. При запи­
си звука на пластинку амплитудная харак­
теристика имеет почти плоский вид; с дру­
гой стороны, электромагнитный звуко­
сниматель реагирует на скорость движения
иглы в бороздке диска, следовательно,
Рис. 4.19. усилитель воспроизведения должен иметь
подъем частотной характеристики на низ­
Суммирующий усилитель. Схема, пока­ ких частотах. Такую хар актер и сти ку
занная на рис. 4.19, представляет собой обеспечивает схема, показанная на рис.
один из вариантов инвертирующего уси­ 4.20. График представляет собой частот­
лителя. Точка X имеет потенциальный ную характеристику усилителя воспроиз­
нуль, поэтому входной ток равен U. /R + ведения (построенную относительно зна­
+ U JR + U JR, отсюда г/вьи
= - ( { /,+ чения коэффициента усиления 0 дБ при
+ U2 + U}). Обратите внимание, что вход­ частоте 1 кГц), точки перегиба графика
ные сигналы могут быть как положитель­ отмечены в единицах времени. Заземлен­
ными, так и отрицательны м и. К роме ны й к о н д ен сато р ем ко стью 47 м кФ
того, входные резисторы не обязательно уменьшает коэффициент усиления по по-
должны быть одинаковы ми; если они
неодинаковы, то получим взвешенную
сумму. Например, схема может иметь 4
входа, на каждом из которых напряжение
равно +1 В или О В; входы представляют
двоичные значения: 1, 2, 4 и 8. Если
использовать резисторы с сопротивле­
нием 10, 5, 2,5 и 1,25 кОм, то снимаемое
с выхода напряжение (в вольтах) будет
пропорционально двоичному числу, ко­
торое задано на входе. Эту схему нетруд­
но расширить до нескольких цифр. Опи­
санный метод представления чисел лежит
в основе цифроаналогового преобразо­
вания, правда, на входе преобразователя
обычно используют другую схему (резис­ Л
50 Гц (3180 мкс)
тивную сетку R - 2R).
Упражнение 4.5. Постройте схему цифроаналого­
вого преобразователя на две десятичные цифры, по­
добрав соответствующим образом входные резисто­
ры для суммирующего усилителя. Цифровой вход
должен представлять собой две цифры; каждый вход
должен состоять из четырех шин, соответствующих
значениям 1, 2, 4 и 8 , из которых формируется деся­ - 0-
тичная цифра. Потенциал входной шины может быть ■в-
равен потенциалу земли или +1 В, т. е. восемь вход­
ных шин соответствуют числам 1, 2, 4, 8 , 10, 20, 40
и 80. В связи с тем, что диапазон выходного сигна­ Частота (лог. масштаб)
ла ограничен значениями +13 В, нужно сделать так,
чтобы выходное напряжение (в вольтах) составляло
одну десятую часть числа на входе. Рис. 4.20. Операционный усилитель в схеме предуси­
лителя звуковых частот для электрофонов с электро­
Предусилитель для электромагнитного магнитной головкой и коррекцией частотной
звукоснимателя. Предусилитель для звуко­ характеристики по стандарту RIAA.
Обратная связь и операционные усилители 193

дения напряж ения Ub3. При использо­


вании этой схемы возникает обычная про­
блема, связанная с тем, что повторитель
может только отдавать ток (для п-р-п-
транзистора). К ак и в случае тран зи с­
торного повторителя, проблема решается
применением двухтактного варианта схе­
мы (рис. 4.22). В дальнейшем мы пока­
жем, что ограниченная скорость, с кото­
рой может изменятья напряжение на вы­
ходе (скорость нарастания), накладывает
серьезные ограничения на быстродействие
усилителя в переходной области и вызы­
вает переходные искажения. Если уси­
литель будет использоваться в системе с
стоянному току до единицы, в противном малым быстродействием, то смещать двух­
случае он был бы равен 1000; как упоми­ тактную пару в состоянии покоя не нуж­
налось выше, это делается для того, что­ но, так как переходные искажения будут
бы устранить усиление входного сдвига по в основном устранены за счет обратной
постоянному току. И спользованн ая в связи. Промышленность выпускает н е­
примере интегральная схема типа LM833 сколько типов интегральных схем усили­
представляет собой сдвоенный ОУ, пред­ телей мощности для операционных уси­
назначенный для использования в звуко­ лителей, наприм ер LT1010, ОРА633 и
вом диапазоне («золотой» для данного 3553. Эти двухтактные усилители с еди­
примера является схема типа LM1028, ничным коэффициентом усиления рабо­
которая в 13 дБ раз тише и в 10 дБ раз тают на частотах до 100 МГц и выше, их
дороже, чем схема типа 833!). выходной ток равен 200 мА. Их смело
Усилитель мощности (бустер). Для полу­ можно охватывать петлей обратной связи
чения больших выходных токов к выходу (см. табл. 7.4).
ОУ можно подключить мощный транзис­ Источник питания. Операционный уси­
торный повторитель (рис. 4.21). В при­ литель может работать как усилитель в ста­
мере использован неинвертирующий уси­ билизаторе напряжения с обратной свя­
литель, но повторитель можно подклю­ зью (рис. 4.23). Операционный усилитель
чать к любому операционному усилителю. сравнивает выходное напряжение с эта­
Обратите внимание, что сигнал обратной лонным напряжением стабилитрона и со­
связи снимается с эмиттера; следователь­ ответственно управляет составным тран­
но, обратная связь определяет нужное зистором Д арлингтона, выполняю щ им
выходное напряжение независимо от па- функции «проходного транзистора». Эта
схема обеспечивает стабилизированное
напряжение 10 В при протекании через
нагрузку тока до 1 А. Некоторые замеча­
ния по этой схеме:
1. Делитель, с которого снимается выход­
ное напряжение, может быть выполнен
в виде потенциометра, тогда выходное
напряжение можно будет регулировать.
2. Для ослабления пульсаций на зенеров-
ском диоде (стабилитроне) резистор с со­
противлением 10 кОм полезно заменить
источником тока. Другой вариант состоит
в том, чтобы смещение зенеровского ди­
Рис. 4.22. ода задавать от выходного сигнала; в этом
194 Глава 4

2N3055 + радиатор пряжения и проходной транзистор, так


удобны в работе, что операционные уси­
лители общего назначения теперь почти
никогда не используются в стабилизато­
рах напряжения. Исключением являются
случаи, когда стабильное напряж ение
нужно сформировать внутри схемы, уже
имеющей стабилизированный источник
напряжения.
В гл. 6 мы подробно поговорим о ста­
билизаторах напряж ения и источниках
питания и рассмотрим специальные ин­
тегральные схемы, предназначенные для
использования в качестве стабилизаторов
напряжения.

4.10. Нелинейные схемы

Усилитель с переключением мощности.


В тех случаях, когда нагрузка может пе­
реключаться, т. е. может быть либо под­
ключена, либо отключена, к операцион­
н ом у уси л и тел ю м ож н о п одк лю ч и ть
транзистор, который работает как пере­
случае вы с пользой применяете стабили­ ключатель. Н а рис. 4.24 показано, как
затор, который построили. Замечание: это можно сделать. Обратите внимание
если вы захотите воспользоваться этим на диод, который предотвращает пробой
трюком, то внимательно проанализируйте перехода база-эмиттер (на выходе ОУ лег­
вашу схему и убедитесь в том, что она за­ ко может возникнуть отрицательное на­
пускается, когда на нее подается питание. пряжение, превышающее - 5 В). Для не­
3. С хем а, п о д о б н а я р а с с м о т р е н н о й , критичны х условий подойдет мощ ный
может быть повреждена при возникнове­ транзистор типа 2N3055. Если ток в схе­
нии короткого замыкания на выходе. Это ме может превышать 1 А, то рекомендуем
связано с тем, что при этом ОУ стремит­ использовать составной транзистор Дар­
ся обеспечить протекание через составной лингтона (или мощный полевой М ОП-
транзистор очень большого тока. В ста­ транзистор).
билизированном источнике питания все­ Активный выпрямитель. Если амплиту­
гда следует предусматривать схему для да сигналов меньше, чем падение напря-
ограничения «аварийного» тока (более
подробно вы познакомитесь с этим воп­
росом в разд. 6.05).
4. П ромы ш ленность выпускает р азн о ­
образные стабилизаторы напряжения в ин­
тегральном исполнении, начиная от ос­
вященных временем интегральных схем
типа 723 до недавно появившихся 3-вы-
водных регулируемых стабилизаторов с
внутренними средствами ограничения то­
ка и ограничения по перегреву (см. табл.
6 .8 -6 .1 0 ). Эти устройства, в которы х
имеются встроенные температурно-ком­
пенсированный источник эталонного на­ Рис. 4.24.
Обратная связь и операционные усилители 195

Рис. 4.26. Проявление эффекта конечной скорости


нарастания в простейшем активном выпрямителе.

жения на диоде, то выпрямлять такие сигнал (тонкая линия), за исключением


сигналы с помощью диодно-резистивной короткого интервала времени после уве­
схемы нельзя. Как правило, для выпрямле­ л и ч ен и я входного н ап р яж е н и я о тн о ­
ния таких сигналов прибегают к помощи сительно О В. Н а этом интервале опера­
ОУ и помещают диод в цепь обратной ционный усилитель стремительно выхо­
связи (рис. 4.25). Для положительного на­ дит из режима насыщения, при котором
пряжения Um диод обеспечивает отрица­ напряжение на его выходе было равно
тельную обратную связь; выходной сиг­ 11ээ, поэтому напряжение на выходе схе­
нал за счет диода повторяет сигнал на мы равно потенциалу земли. Д ля опе­
входе, причем исключается влияние па­ рационного усилителя общего назначения
дения напряжения 1/БЭ. При отрицательном типа 411 скорость нарастания (м акси­
напряжении Um операционный усилитель мальная скорость изменения выходного
переходит в режим насыщения и напряже- сигнала) составляет 15 В/мкс; следова­
ние £/вых становится равным потенциалу тельно, восстановление при переходе из
земли. Д ля получения м еньш его вы ­ режима насыщения в активный режим за­
ходного импеданса (при нуле на выходе) нимает приблизительно 1 мкс - это мо­
можно взять резистор R с меньшим со­ жет привести к серьезны м выходным
противлением, но это ведет к увеличению ошибкам для быстрых сигналов. Поло­
выходного тока операционного усилите­ жение дел можно исправить, если вос­
ля. Лучше всего использовать на выходе пользоваться модификацией рассмотрен­
схемы повторитель на ОУ так, как пока­ ной схемы (рис. 4.27).
зано на рис. 4.25, при этом выходное со­ Благодаря диоду Д, с отрицательными
противление будет очень небольшим не­ входными сигналами схема работает как
зависимо от величины сопротивления R. инвертор с единичным коэффициентом
Представленная схема обладает недо­ усиления. Для положительных входных
статком, который сказывается при рабо­ сигналов диод Д 2 ограничивает выходное
те с быстро изменяющимися сигналами. напряжение первого ОУ по уровню, кото-
Выходной сигнал операционного усили­
теля не может изменяться бесконечно
быстро, в связи с этим восстановление
при переходе из режима насы щ ения в
активный режим (выходной сигнал про­
ходит через нуль в направлении снизу
вверх) занимает некоторое время, в тече­
ние которого состояние выхода является
неправильным. Это явление иллюстриру­
ется графиком на рис. 4.26. Выходной
сигнал (жирная линия) в точности пред­
ставляет собой выпрямленный входной
196 Глава 4

рыи ниже потенциала земли на величину скоростью нарастания. Скорость нарас­


падения напряжения на диоде, и так как тания влияет на характеристики простых
диод Д, смещен в обратном направлении, усилителей напряжения. Здесь стоит ос­
то t/Bbix равно потенциалу зем ли. Эта тановиться и рассмотреть, чем реальные
схема дает лучший результат, так как при ОУ отличаются от идеальных, так как это
переходе входного сигнала через нуль на­ различие влияет, как мы уже упоминали
пряжение на выходе изменяется всего выше, на разработку схем с операцион­
лишь на удвоенную величину падения на­ ными усилителями. Если вы будете знать,
пряжения на диоде. В связи с тем что в чем состоят недостатки операционных
напряжение на выходе операционного усилителей и как они влияют на разра­
усилителя должно измениться только на ботку схем и на их характеристики, то это
1,2 В, вместо того чтобы изменяться на поможет вам правильно выбирать ОУ и
величину 1/ээ, динамическая ошибка при эффективно разрабатывать схемы на их
переходе через нуль уменьшается более основе.
чем в 10 раз. Кстати говоря, этот выпря­
митель является инвертирующим. Если ПОДРОБНЫЙ АНАЛИЗ РАБОТЫ
же выходной сигнал должен быть неинвер- ОПЕРАЦИОННЫХ УСИЛИТЕЛЕЙ
тированны м, то к выходу нужно под­
ключить инвертор с единичным коэффи­ На рис. 4.28 показана схема широко рас­
циентом усиления. пространенного интегрального ОУ типа
Х арактеристики приведен н ы х здесь 411. Эта схема относительно незам ы с­
схем будут лучше, если использовать в ловата, если рассматривать ее с точки зре­
них операционные усилители с большой ния транзисторных схем, которым была

нуля нуля
Рис. 4.28. Принципиальная схема наиболее широко используемого ОУ типа 741 (фирма Fairchild Camera
and Instrument Corp.).
Обратная связь и операционные усилители 197

посвящена предыдущая глава. Она имеет вен половине суммы входных токов, и з­
дифференциальный входной каскад с на­ меренных при соединении входов между
грузкой в виде токового зеркала, далее собой (два входных тока примерно равны
подключен каскад с общим эмиттером на между собой и представляют собой про­
транзисторах п —р —п-типа (который также сто базовые токи входных транзисторов).
имеет активную нагрузку), о б есп еч и ­ Для операционного усилителя типа 411,
вающий большую часть усиления по н а­ на входе которого используются полевые
пряжению. К эмиттерному повторителю транзисторы с /?—«-переходом, типичным
р —п —р-типа, подключен выходной каскад, значением тока смещения является 50 пА
представляющий собой двухтактный эмит­ при комнатной температуре (и 2 нА при
терный повторитель, в состав которого температуре 70°С). В то же время для
входит схема о гр ан и ч ен и я тока. Эта операционного усилителя со входами на
схема является типичной для многих ОУ, биполярных транзисторах, например типа
выпускаемых промышленностью в насто­ ОР-27, типичным значением тока смещ е­
ящее время. Для многих задач характери­ ния является 15 нА с небольшими коле­
стики таких ОУ близки к идеальным. баниями в зависимости от температуры.
А сейчас мы рассмотрим, в какой степе­ По грубой оценке для операционных уси­
ни реальные ОУ отличаются от идеаль­ лителей со входами на биполярных тран­
ных, как это учесть при разработке схем зисторах тока смещения оцениваются де­
и что делать с этими отличиями. сятыми долями наноампер, а для опера­
ц и о н н ы х у си л и тел ей со входам и на
4.11. Отличие характеристик полевых транзисторах - десятыми доля­
идеального ОУ от реального ми пикоампер (т. е. в 1000 раз меньше).
В общем, если вы имеете дело с опера­
Идеальный операционный усилитель име­ ционным усилителем на полевых транзи­
ет следующие характеристики: сторах, можете пренебречь входным то­
1. Входной импеданс (и для дифференци­ ком; с операционным усилителем на би­
ального, и для синфазного сигнала) равен полярны х транзисторах так поступать
бесконечности, а входные токи - нулю. нельзя.
2. Выходной импеданс (при разомкнутой Роль входного тока смещения состоит в
ОС) равен нулю. том, что он создает падение напряжения
3. Коэффициент усиления по напряжению на резисторах цепей обратной связи и
равен бесконечности. смещения, а также на сопротивлении ис­
4. К оэф ф ициент усиления синф азного точника сигнала. От того, насколько ма­
сигнала равен нулю. лы сопротивления этих резисторов, зави­
5. Выходное напряжение равно нулю, ког­ сит влияние этого тока на параметры ва­
да напряжение на обоих входах одинако­ ш ей схем ы по п о с т о я н н о м у то к у и
во (напряжение сдвига равно нулю). отклонения выходного напряжения. Ха­
6. Выходное напряж ение может и зм е­ рактер этой зависимости скоро станет вам
няться мгновенно (бесконечная скорость ясен.
нарастания). Промышленность выпускает операцион­
Перечисленные характеристики не за­ ные усилители с входными токами сме­
висят от температуры и изменений напря­ щ ения порядка наноампер и ниже для
жения питания. схем со входами на биполярных транзис­
Отличие характеристик реальных опера­ торах и порядка нескольких пикоампер
ционных усилителей от идеальных состо­ (10_6 мкА) для схем со входами на поле­
ит в следующем (типичны е зн ачен ия вых транзисторах. Самые малые токи сме­
приведены в табл. 4.1). щения имеют схема с транзисторами Д ар­
Входной ток. Небольшой ток, называе­ лингтона со сверхбольшим значением ко­
мый входным током смещения, / м, вте­ эффициента р типа LM 11 (максимальный
кает во входные зажимы (или вытекает, входной ток равен 50 пА), схема типа
в зависимости от типа ОУ). Этот ток ра­ AD549 (входной ток равен 0,06 пА) и схема
Таблица 4.1. Операционные усилители
Тип Ъ Коли­ к5
=: •©■с Предельное Напряжение Ток
S
S чество ОУ напряжение *
03 в одном X § питания, В g
1* X
0> г5 <D >s
корпусе61 С
1
пS 8CD 1 1 1 "5
* ^ мин. макс, < D<!
я аS к «£ н 2 z Сдвиг, мВ Дрейф, Смеще­ Сдвиг,
2 £ * 3
s а ю ^ мкВ/"С ние, нА нА
О. 1* 3 х я о. «
4 и = S S о §
1 2 Cl со S -е- с 3- тип. макс. тип. макс. макс. макс.
Биполярные, прецизионные
QP-07A РМ+ « V * 1 6 44 4 0,01 0,025 0,2 0,6 2 2
ОР-07Е РМ+ * V - * - 1 6 44 4 0,03 0,08 0,3 1,3 4 3,8
ОР-21А РМ * V V * - 1 5 36 0,3 0,04 0,1 0,5 1 100 4
ОР-27Е РМ+ * V V * - 1 8 44 5 0,01 0,025 0,2 0,6 40 35
OP-27G РМ+ * V V * - 1 8 44 6 0,03 0,1 0,4 1,8 80 75
ОР-37Е РМ+ * V “ * ~ 5 8 44 5 0,01 0,025 0,2 0,6 40 35

ОР-50Е РМ * - - * * 5 10 36 4 0,01 0,025 0,15 0,3 5 1

ОР-77Е РМ * V V * - 1 6 44 2 0,01 0,025 0,1 0,3 2 1,5

ОР-90Е РМ * V V * - 1 1,6 36 0,02 0,05 0,15 0,3 2 15 3


ОР-97Е РМ * - - * - 1 4,5 40 0,6 0,01 0,025 0,2 0,6 0,1 0,1
МАХ400М МА * — - * — 1 6 44 4 0,004 0,01 0,2 0,3 2 2

LM607A NS * - _ * * 1 6 44 1,5 0,015 0,025 0,2 0,3 2 2


AD707C AD * V - * - 1 6 36 3 0,005 0,015 0,03 0,1 1 1

AD846B AD * - - - * 2 10 36 6,5 0,025 0,075 0,8 3,5 250 (л)

LT1001A LT * V - * -
1 6 44 3,3 0,01 0,025 0,2 0,6 4 4
LT1007A LT * — - * — 1 5 44 4 0,01 0,025 0,2 0,6 35 30

LT1012C LT+ * V - * * 1 4 40 0,6 0,01 0,05 0,2 1,5 0,15 0,15

LT1028A LT * - - * * 1 8 44 9,5 0,01 0,04 0,2 0,8 90 50

LT1037A LT * - - * - 5 5 44 4,5 0,01 0,025 0,2 0,6 35 30

RC4077A RA * - - * - 1 6 44 1,7 0,004 0,01 0,1 0,3 2 1,5

НА5134А НА - - * - - 1 10 40 8 0,05 0,1 0,3 1,2 25 25

HA5135 НА * _ _ * _ 40 0,08 0,4 1,3 4 4


1 8 1,7 0,01
HA5147A НА * * 10 8 44 4 0,01 0,025 0,2 0,6 40 35

Биполярные, с малым смешением (см. также «Биполярные, прецизионные»)


QP-08E РМ * - - - * НК 10 40 0,5 0,07 0,15 0,5 2,5 2 0,2
LM10 NS+ * - - * - 1 1 45 0,4 0,3 2 2 - 20 0,7

LM11 NS+ * — — * * 1 5 40 0,6 0,1 0,3 1 3 50пА ЮпА

ОР-12Е PM+ * _ _ _ _ 1 10 40 0,5 0,07 0,15 0,5 2,5 2 0,2


LM308 NS+ * V - - * НК 10 36 0,8 2 7,5 6 30 7 1
к
S
еш Ско­ X Макс. Равен ли
1) <
при рость г диффе­ размах напря­
1 кГц нарас­ КОСС, к о н п , о
>, й ренци­ жению пит.ж|
пС пС он- альное Примечания

Коэффициен-
нВ/л/Гц тил.*1, МГц xi выход­ Вход Выход
В/мкс 3в ное на­ и+ и_ и+ и

мин., дБ
о* пряже­
Л ние, В' 1
2

9,6 0,17 0,6 110 100 110 10 303>


9,6 0,17 0,6 106 94 106 10 303) - - - -
21 0,25 0,6 100 104 120 - 303> - - - - Маломощный
3,0 2,8 8 114 100 120 20 0,5 - - - - С низким уровнем шума
3,2 2,8 8 100 94 117 20 0,5 - - - - Дешевая серия
3,0 17 63 114 100 120 20 0,5 С низким уровнем шума,
подкорректированный
ОР-27
4,5 3 25 126 140 140 70 10» — — — — Большой ток, низкий
уровень шума
9,6 0,3 0,6 120 110 134 12 303> Улучшенный вариант
” " ОР-07
60 0,01 0,02 100 104 117 6 36 - + - + Микромошный
14 0,2 0,9 114 114 110 10 0,5 - - - - Маломощный ОР-77
9,6 0,3 0,6 114 100 114 12 30 — — — Самое низкое непрерыв­
ное значение Um
6.5 0,7 1,8 124 100 134 10 0,5 - - _ -
9,6 0,3 0,9 130 120 138 12 44 — — — - Улучшенный ОР-07; сдво­
енный = 708
2 450 310 110 110 — 50 18 — — ~ Обратная связь по току;
быстродействующий
9,6 0,25 0,8 114 110 113 30 30 — — — -
2,5 2,5 8 117 110 137 20 0,5 — — “ Низкий уровень шума,
ОР-27
14 0,2 0,8 110 110 106 12 1 — — — Улучшенный вариант 312;
сдвоенный = 1024
0,9 15 75 114 117 137 20 1 Очень низкий уровень
■ ■ шума
2.5 15 60 117 110 137 20 0,5 Подкорректированный
" ■ вариант 1007, ОР-37
9,6 0,25 0,8 120 110 128 15 30 Самое низкое непрерыв­
" “ “ ■ ное значение U
7 1 4 94 100 108 20 40 Четыре ОУ в одном кор­
пусе, низкий уровень
шума
9 0,8 2,5 106 94 120 20 15э) - - — -
3,0 35 140 114 80 120 15 0,5 С низким уровнем шума,
быстродействующий,
нескорректированный

20 0,12 0,8 104 104 98 5 0,5 - - - - Прецизионный 308


47 0,12 0,1 93 90 102 20 40 + + + «Одновольтный ОУ»,
прецизионный, может
работать в цепях опор­
ного напряжения
150 0,3 0,5 ПО 100 100 2 0,5 Прецизионный; самое ма­
лое смешение среди би­
полярных ОУ
20 0,12 0,8 104 104 98 5 0,5 - - - - Прецизионный 312
35 0,15 0,3 80 80 88 5 0,5 Оригинальный с малым
смещением (со сверхвы­
соким значением коэф­
фициента р)
Тип Коли­ Предельное Напряжение Ток
=*
U
н чество ОУ напряжение *
S в одном
ш !§ X 4X> питания, В g
’S
корпусе61 «
2 | 35
п со 3 * о
•а ^ мин. макс, 0 < Сдвиг, мВ
S
ШН Дрейф, Смеще- Сдвиг,
СО аS 2 к Щs
S 1 & мкВ/°С ние, нА нА
о. I * и 1 у
I 1 2 4 £ £ О W тип. макс. тип. макс. макс. 1макс.
со c i

LM312 NS+ * _ - * * 1 10 40 0,8 2 7,5 6 30 7 1

LP324 NS - - * 1 4 32 0,25 2 4 10 — 10 2

Биполярные, с одним источником питания


324А NS + V V * - - 1 3 32 3 2 3 7 30 100 30

LP324 NS - * - - 1 4 32 0,25 2 4 10 - 10 2

LT1013C LT _ * V - - 1 4 44 1 0,06 0,3 0,4 2,5 50 2

НА5141А НА * V V - - 1 2 40 0,07 0,5 2 3 - 75 10

Биполярные , с одним источником питания, прецизионные


LT1006A LT # _ - * - 1 2,7 44 0,5 0,02 0,05 0,2 1,3 15 0,5

LT1013A LT - * V 1 4 44 1 0,04 0,15 0,4 2 35 1,3

Биполярные, быстродействующие
ОР-62Е РМ * _ - * * 1 16 36 7 - 0,2 - - 300 100
ОР-63Е РМ * _ - * * 1 16 36 7 - 0,75 - - 300 100
ОР-64Е РМ * _ - * * 5 16 36 7 - 0,75 - - 300 100
ОР-65Е РМ * _ - * - 1 9 14 25 - 2 - - 3 мкА 1 мкА
CLC400 CL * _ * — 1 — 7 15 2 5,5 20 40 25 мкА (л)

AD509K AD ♦ _ _ * * 3 10 40 6 4 8
_ 40 200 25
SL54IB PL * _ — * — 10 — 24 21 - 5 15 - 25 мкА 10

VA705L VT * V V * - 1 8 12 10 1 2 20 - 900 25

VA706K VT * V V * - 1 8 12 10 4 10 20 I мкА 120

VA707K VT * V V * - 12 8 12 10 3 6 20 - 1 мкА 120

LM837 NS -
*
- - 1 8 36 15 0,3 5 2 - 1 мкА 200

AD840K AD * _ -
*
- 10 10 36 12 0,1 0,3 3 - 5 мкА 200

AD841K AD * _ — * _ 1 10 36 12 0,5 1 35 20 5 мкА 200


Продолжение табл. 4.1.

еш
Ско­ Макс. Равен ли
при рость диффе­ размах напря­
1 кГц нарас­ /ср
КОСС, КОНП, ренци­ жению пит.ж)
тип., тания тип., дБ дБ альное Примечания
iB/Vfu тип.д), МГц выход­ Вход Выход
В/мкс ное на­ {7 U_ U. и_
пряже­
ние, Ве|
£
35 0,15 0,3 80 96 88 5 0,5 — — Скорректированный ва­
риант 308
- 0,05 0,1 80 90|ф) 94 5 32 - ■ - ■ Маломощный, с одним
источником питания

- 0,5 1 65 65 88 20 30 - + - + Классический ОУ; сдвоен­


ный вариант 358А
- 0,05 0,1 80 90|ф| 94 5 32 - + - + Маломощный, с малым
смещением
22 0,4 0,8 97 100 122 25 30 - + - + Улучшенный вариант
358/324; учетверен­
ный = 1014
20 1,5 0,4 80 94 94 1 7 - + - + Микромощный

22 0,4 1 100 106 120 20 30 - + - + Дополнительно /п=


90 мкА
22 0,4 0,6 100 103 124 25 30 - + - + Улучшенный вариант
358/324; учетверен­
ный = 1014

2,5 15 50 110 105 111 20 5 Прецизионный


7 50 50 110 105 100 20 5 - - - -
7 200 200 110 105 100 20 5 - - - -
- 200 150 85 90 100 50 5 - - - -
12 700 280 40 40 — 50 Преобразователь тока в
напряжение; подкоррек­
тированный = 401
19 120 20 80 80 80 _ 15 _ _ _ _ Быстродействующий
- 175 100 60 46 46 6,5 9 — — — - Быстродействующий, ви­
деоусилитель
- 35 25 60 60 80 50 9 - - - - Видеоусилитель, управля­
ет нагрузкой 50 Ом; с
быстрым установлени­
ем
- 42 25 60 60 66 50 9 - - - - Видеоусилитель, управля­
ет нагрузкой 500 Ом; с
быстрым установлени­
ем
- 105 300 60 60 74 50 9 - - - - Подкорректированный,
быстродействующий,
50 Ом
4,5 10 25 80 120 90 40 30 - - - - С низким уровнем шума,
с небольшим искажени­
ем
4 400 400 100 94 104 50 6 - - - - Подкорректированный
ОУ типа 841; для 842
коэфф. усиления > 2
13 300 40 90 90 88 50 6 _ _ С быстрым установлени­
ем, имеются подкор­
ректированные версии
схемы
Тип Коли­ Предельное Напряжение Ток
чество ОУ ■в-с напряжение и
1
Я
« в одном 1
sЯ «*
* я питания, В *-
о
е корпусе61 « a «
е*в 3 5 3з
2Г)
S CQ a * §
a5 £Ж мин. макс, 0 < Сдвиг, мВ Дрейф, Смеше­ Сдвиг,
тSа a
5 Ю1 Х
3-Ч мкВ/°С ние, нА нА
а 1 1 2
Ё1 * я
1 1 2 4 £ 5S •&* 5 | тип. макс. ТИП. 1макс. макс. макс.
С 3
AD847J AD * - - * - 1 9 36 5,6 0,5 1 15 - 7 мкА 501ф|

AD848J AD * - - * - 5 9 36 5,6 0,5 1 2 10 5 мкА 15|ф|

AD849J AD * - - * - 25 9 36 5,6 0,5 1 1 10 5 мкА 15<Ф'

НА2539 НА * - - - - 10 10 35 25 8 15 20 - 20 мкА 6 мкА

SL2541B PL * - - * - 1 14 30 25<ф> 10 - 20 - 20 мкА 6 мкА

НА2541 НА * - - * - 1 10 35 45 - 2 20 - 35 мкА 7 мкА

НА2542 НА * * * 2 10 35 40 _ 10 20 _ 35 мкА 7 мкА


НА2544 НА * — - * * 1 10 33 10 6 15 10 - 15 мкА 2 мкА

СА3450 RC * _ - * * 1 10 14 35 8 15 _ — 350 150


НА5101 НА * V V * “ 1 4 40 6 0,5 3 3 - 200 75

НА5111 НА * V V * * 10 4 40 6 0,5 3 3 - 200 75

НА5147А НА * - - * - 10 8 44 4 0,01 0,025 0,2 0,6 40 35

НА5195 НА * - - - - 5 20 35 25 3 6 20 - 15 мкА 4 мкА

LM6361 NS * - - * - 1 5 36 6,5 5 20 10 - 5 мкА 2 мкА

LM6364 NS * - - * - 5 5 36 6,5 2 9 6 - 5 мкА 2 мкА

LM6365 NS * - - * - 25 5 36 6,8 1 6 3 - 5 мкА 2 мкА


Биполярные, прочие
ОР-20В РМ * V V * - 1 4 36 0,08 0,06 0,25 0,75 1,5 25 1,5

LM833 NS - * - - - 1 10 36 8 0,3 5 2 - 1 мкА 200

СА3193А RC * _ - * _ 1 7 36 3,5 0,14 0,2 1 3 20 5


XR4560 XR * 1 8 36 2 0,5 6 500 200

НА5151 НА * V V 1 2 40 0,25 2 3 3 150 30


NE5534 SN+ * V * * 3 6 44 8 0,5 4 2 мкА 300

МС33078 МО - * V - _ 1 10 36 5 0,15 2 2 — 750 150

МС33171 МО * V V * - 1 3 44 0,25 2 4,5 10 _ 100 20


МС34071А МО * V V * - 1 3 44 2,5 0,5 1,5 10 - 500 50
Продолжение табл. 4.1.

еш Ско­ Макс. Равен ли


при рость <s диффе­ размах напря­
1 кГц нарас­ 4 КОСС, КОНП, ренци­ жению пит.*'
тип., тания тип., дБ дБ альное Примечания
нВ/\/Гц тип.л), МГц выход­ Вход Выход
В/мкс ное на­ U. У К и_
пряже­
ние, В'»
^ s
15<к! 300 50 78 75 82 25 6 — — — — Подкорректированный
вариант 847
4(»> 300 250 Ю4СФ) 104 сФ
) 90 25 6 Нескорректированный ва­
риант 847
4 <к) 300 725 110«» 100<4» 80 10 6 С низким уровнем шума,
подобен ОУ типа 2540
6 600 600 60 85 45 10 10 Имеет буфер с единичным
" " “ усилением
900 800 47 40<Ф> 80 10 6 С быстрым установлени­
ем, с небольшим иска­
жением
10 280 40 70 60 80 100 6 С быстрым установлени­
ем, подкорректирован­
ный
10 375 120 70 70 70 35 6 - - _ Видеоусилитель
150 33 75 70 96 75 5 Видеоусилитель/линейная
■ _ управляющая схема
- 420 190 50 60 136<4» 30 7 - - - - С низким уровнем шума
3,3 10 10 100"» 80 136'»' 30 7 С низким уровнем шума,
нескорректированный
3,3 50 100 ЮО'Ф» Ю0<Ф> 120 15 0,7 С низким уровнем шума,
прецизионный, нескор­
ректированный
3,0 35 140 114 80 80 25 6 Улучшенный вариант схемы
' ~
EL2195 фирмы Elantec
6 200 150 74 70 52 30 8 С вертикальной р—п—р-
" " ' структурой
15 300 50 70 72 66 'оя 30 8 С вертикальной р—п—р-
структурой
8 300 160 102(ф| 70 75 30 8 С вертикальной р —п—р-
структурой
5

58 0,05 0,1 96 100 114 0,5 30 - + - - Небольшая мощность,


точное значение
4,5 7 15 80 80 90 10 30 — “ Низкий уровень шума, не­
большое искажение
24 0,25 1,2 110 100 110 7 5 - - - -
8 4 10 70 76 86 100 30 Предназначен для ис­
пользования в качестве
усилителя звуковых
частот
15 4,5 1,3 80 80 94 3 7 - - - + Небольшая мощность
4 6 10 70 80 88 20 0,5 Низкий уровень шума,
предназначен для ис­
пользования в качестве
усилителя звуковых
частот
4,5 1,3 16 80 80 90 20 36 — Низкий уровень шума, не­
большое искажение
32 2,1 1,8 80 80 94 4 44 - + _ -
32 10 4,5 80 80 94 25 44 + Управляет конденсато­
" ром 0,01 мкФ
Тип Коли­ Предельное Напряжение Ток
чество ОУ напряжение *
питания, В °
корпусе01
■' ' «£ - «— — — —
н. макс, к s Сдвиг, мВ Дрейф, Смеше- Сдвиг,
S= мкВ/°С ние, нА нА
* я
S •&
Биполярные, выходящие из употребления
OP-OIE РМ * - - * 1 10 44 3 1 2 3 10 50 5

ОР-02Е РМ * V _ * _ 1 10 44 2 0,3 0,5 2 8 30 2

ОР-05Е РМ+ * V 1 6 44 4 0,2 0,5 0,7 2 4 3,8


0Р-11Е РМ - - 1 10 44 6 0,3 0,5 2 10 300 20

307 NS+ * - - - - 1 10 44 2,5 2 7,5 6 30 250 50

LM318 NS + * - _ * * 1 10 40 10 4 10 - - 500 200

349 NS - - 5 10 36 4,5 1 6 - - 200 50

AD517L AD * 1 10 36 3 0,025 0,5 1 0,25


AD518J AD * - _ * * 1 10 40 10 4 10 10 - 500 200
NE530 SN * V 1 10 36 3 2 5 6 — 150 40

NE531 SN * _ _ * * НК 12 44 10 2 6 _ _ 2 мкА 200


NE538 SN * м _ # _ 5 10 36 2,8 2 5 6 — 150 40

МА725 FA+ * - _ * * НК 6 44 3 0,5 1 2 5 100 20

цА739 FA - * НК 8 36 14 1 6 _ — 2 мкА 10 мкА


741С FA+ * V V * 1 10 36 2,8 2 6 - - 500 200

748С FA+ * - - * * НК 10 36 3,3 2 6 - - 500 200

цА749 FA - * _ - * НК 8 36 10 1 3 3 - 750 400


1435 ТР * — — * * 10 24 32 30 2 5 5 25 20 мкА -

1456 МО * _ _ * _ 1 10 36 3 5 10 _ _ 30 10
НА2505 НА * - - * * 1 20 40 6 4 8 20 - 250 50
НА2515 НА * - - * * 1 20 40 6 5 10 30 - 250 50
НА2525 НА * - - * * 3 20 40 6 5 10 30 - 250 50
НА2605 НА * - - * * 1 10 45 4 3 5 10 - 25 25
НА2625 НА * - - * * 5 10 45 4 3 5 10 - 25 25
САЗ 100 RC * - - * * 10 13 36 И 1 5 - - 2 мкА 400
4558 RA+ — * _ - - 1 8 36 5,6 2 6 - - 500 200

NE5535 SN V * _ * _ 1 10 36 2,8 2 5 6 _ 150 40


5539 SI+ * - - - * 7 6 24 15 2,5 5 5 10 20 мкА -
Продолжение табл. 4.1.

е Ско­ Макс. Равен ли


при рость < диффе­ размах напря­
я
1 кГц нарас­ 4 КОСС, КОНП, ренци­ жению пит.ж)
тип., тания тип., дБ дБ альное Примечания
нВ/Vru тип.д), МГц выход­ Вход Выход
В/мкс ное на­ а и_ (/ и_
пряже­
ние, В' 1
и г

— 18 2,5 80 80 94 6 30 — — — Быстродействующий,
прецизионный
21 0,5 1,3 90 90 100 6 30 Прецизионный, неболь­
" " " шой ток
9,6 0,17 0,67 110 94 106 10 30™ - - _
12 1 2 110 90 100 6 30 Презиционный, счетве­
“ “ " ренный
16 0,5 1 70 70 84 10 30 + Классический ОУ, нескор­
ректированный вариант
ОУ типа 301
14 70 15 70 65 86 10 0,5 — — — — В свое время был популя­
рен
60 2 4 70 77 88 15 36 Подкорректированный
вариант ОУ типа 348
(счетверенный ОУ типа
741)
20 0,1 0,25 110 96 120 10 30
70 12 70 65 88 15 " "
30 35 3 70 76 94 10 30 + Быстродействующий;
сдвоенный вариант ОУ
типа 5530
- 35 1 70 76 86 - 15 + - - -
18 60 5 70 76 94 10 30 + Быстродействующий,
сдвоенный вариант ОУ
типа 5538
— 0,005 0,08 110 100 108 15 5 Оригинальный прецизи­
онный ОУ
- 1 6 70 85* 76 1,5 5 - - + Подобен ОУ типа 739
0,5 1,2 70 76 86 20 30 Старая классическая схе­
ма ОУ; сдвоенный ва­
риант ОУ типа 1458,
счетверенный вариант
ОУ типа 348
— 0,5 1,2 70 76 94 15 30 — _ Нескорректированный ва­
риант ОУ типа 741
- 2 6 70 74 86 1,5 5 - - - + Подобен ОУ типа 739
— 300 1 ГГц 80 7 5 <Ф>
80 10 2 — — — С быстрым установлени­
ем
45 2,5 1 70 74 97 5 40 - - -
- 30 12 74 74 84 10 15 + - - -
- 60 12 74 74 78 10 15 + - -
- 120 20 74 74 78 10 15 + - - -
- 7 12 74 74 98 10 12 - - -
- 35 100 74 74 98 10 12 - - ~ -
- 25 30 76 60 58 15 12 - - - -
43 1 2,5 70 74 86 15 30 — — — Быстродействующий ва­
риант ОУ типа 1458
17 15 1 70 76 94 10 30 + - - Быстродействующий ОУ
4 600 1200 70 66 46 40 10 - - - + Небольшой выходной
размах
Тип Коли­ Предельное Напряжение Ток
чество ОУ •©"с напряжение *
Я
8* яК
* питания, В S
в одном
корпусе6' »я 2
2 5 5S
3
S
| мин. макс, ж s Сдвиг, мВ Дрейф, Смеше- Сдвиг,
мкВ/°С ние, нА нА
N
о я. тип. тип. макс. макс. макс.
© S -& С £
Полевые с ^-я-переходом, прецизионные
ОР-414Е РМ * - - * 1 10 36 1 0,2 0,25 2,5 5 0,005 0,001

ОР-43Е РМ * - - * 1 10 36 1 0,2 0,25 2,5 5 0,005 0,001

ОРАЮ1В ВВ * - - * 1 10 40 8 0,05 0,25 3 5 0,01 4 пА

ОРА11 1В ВВ * V — * - 1 10 36 3,5 0,05 0,25 0,5 1 1 пА 0,7пА|ф|

AD547L AD * V - * - 1 5 36 1,5 - 0,25 - 1 0,025 2 пА|ф)

AD548C AD * V - * - 1 9 36 0,2 0,1 0,25 -0,5 2 0,01 0,05

ОРА627В ВВ * _ * _ 1 9 36 8 0,04 0,1 0,5 0,8 0,02 0,02


AD711C AD * V V * - 1 9 36 2,8 0,1 0,25 2 3 0,025 0,01

AD845K AD * - - * - 1 9,5 36 12 0,1 0,25 1,5 5 1 0,1


LT1055A LT * - - * - 1 10 40 4 0,05 0,15 1,2 4 0,05 0,01
НА5170 НА * - - * - 1 9 44 2,5 0,1 0,3 2 5 0,1 0,06
Полевые с ^—n-переходом, быстродействующие
ОР-42Е РМ * - - * 1 15 40 6,5 0,3 0,75 4 10 0,2 0,04
ОР-44Е РМ * - - * - 3 16 40 6 0,03 0,75 4 10 0,2 40 пА
357В NS+ * - - * — 5 10 36 7 3 5 5 - 100 пА 0,02

AD380K AD * - - * * НК 12 40 15 - 1 - 10 0,1 5 пА

LF401A NS * _ _ * * 1 15 36 12 _ 0,2 - _ 0,2 0,1


ОРА404В ВВ - - * - - 1 10 36 10 0,26 0,75 3 - 0,004 4 пА
LF457B NS * — — * 5 10 36 10 0,18 0,4 3 4 50 пА 20 пА

ОРА602С ВВ * - - * 1 10 36 4 0,1 0,25 1 2 1 пА 1 пА

ОРА605К ВВ * — — * • 50 10 40 9 0,25 0,5 - 5 0,035 2 пА|ф>


OPA6 O6 L ВВ * - - * - 1 10 36 9,5 0,1 0,5 3 5 0,01 5 пА

AD744C AD * V - * * 2 9 36 4 0,1 0,25 2 3 0,05 0,02

AD843B AD * - - * - 1 9 36 12 0,5 1 15 - 1 0,1

AD845K AD * - - * - 1 9,5 36 10,2 0,1 0,25 1,5 3 0,4 0,05

LT1022A LT * _ _ * - 1 20 40 7 0,08 0,25 1,3 5 0,05 0,01


НА5160 НА * - - - * НК 14 40 10 1 3 20 - 0,05 0,01
МС34080А МО * V V * - 2 6 44 3,4 0,3 0,5 10 - 0,2 0,1

МС34081А МО * V V * _ 1 6 44 3,4 0,3 0,5 10 - 0,2 0,1


Продолжение табл. 4.1.

еш Ско­ S Макс. Равен ли


при рость < диффе­ размах напря­
=;
1 кГц нарас­ 4 косс, конп, § ренци­ жению пит.*'
тип., тания тип., дБ дБ ? он альное Примечания
X
U выход­ Вход Выход
нВ/л/Гц тип.а), МГц ><
В/мкс =и 2 ное на­ и+ и_ и+ и_
пряже­
Л X * ние, В' 1
U *г 1

32 1,3 0,5 100 92 120 15 20 + Небольшое смешение, не­


большое искажение,
медленнее, чем ОР-43
32 6 2,4 100 92 120 15 20 + Небольшое смешение, не­
большое искажение,
ОР-41 стабильней
8 7 20 80 86 96 45 20 Низкий уровень шума,
подкорректированный
вариант ОУ типа
ОРАЮ2
7 2 2 100 100 120 10 36 — — Низкий уровень шума, не­
большое смещение
30 3 1 80 80 108 20 20 — ~ Сдвоенный вариант ОУ
типа AD642, 647
30 1,8 1 86 86 110 20 20 Улучшенный вариант ОУ
типа LF411, сдвоенный
вариант ОУ типа AD648
5,2 55 16 106 106 110 30 Быстродействующий
18 20 4 86 86 106 20 20 Улучшенный вариант схе­
_ мы типа LF411/2
25 100 16 94 95 108 30 36 - _ - - Быстродействующий
14 13 5 86 90 104 30 40 - - _ LT1056 на 20% быстрее
10 8 8 90 74 110 10 30 + — — — Низкий уровень шума

13 58 10 88 86 114 25 40 _ _ _ _ Небольшое значение ZBb|x


13 120 16 88 90 114 15 40 - - - -
12 50 20 85 85 94 20 30 + — — Подкорректированный
ОУ типа 356
15 330 300 60 60 92 60 20 — — — Гибридный; быстродей­
ствующий, 50 Ом
23 30 16 90 80 100 50 32 - - - - Точный
15 35 6,4 92 86 92 10 36 - - _ - Точный, счетверенный
10 50 20 86 86 106 100'“' 40 + Низкийуровень шума,
управляет конденсато­
ром 0,01 мкФ
13 35 6,5 92 86 92 20 36 Небольшое смешение,
“ быстрое установление
20 94 20 80 74 104"» 30 20 - - _ - Нескорректированный
13 35 13 85 90 100 10 36 Улучшенный вариант
“ LF356
18 75 13 86 92 108 20 36 Очень слабое искажение
(3 ppm); быстрое уста­
новление
13 250 35 100 95 88 50 С быстрым установлени­
ем
25 100 16 94 98 106 25 20 — С быстрым установлени­
“ ем
14 26 8,5 86 88 104 10 40 - _ -
35 120 100 74 108 98 22 40 - - _ - Небольшое смещение
30 50 16 75 75 94 20 44 — — — — (/> > U_ + 4 В, подкор­
ректированный 34081
30 25 8 75 75 94 20 44 ~ - - - и„ > V- + 4 В
Тип Коли­ Предельное Напряжение Ток
чество ОУ 1 ■ё-с напряжение *
<нD iо
S в одном X § яX питания, В g
0О3 4С> в* V
корпусе61 в
5 та 2 3 § 2
(Г) *ю i
S
Я
оа.
S 1
I1
а Е-
S х
мин. макс, и < Сдвиг, мВ
ю§ ^2
Дрейф,
мкВ/°С
Смеще­ Сдвиг,
ние, нА нА
2 яг* ®
8
аS 5» эи
«X ■
1 2 4 4 > 51 я* о* 82 тип. макс. тип. макс. макс. макс.
в От CQ с 5-
Полевые с р—я-переходом, прочие
TL031C TI V V * - 1 10 36 0,28 0,5 1,5 6 - 0,2 0,1

TL051C TI * V V * - 1 10 36 3,2 0,6 1,5 8 - 0,2 0,1

TL061C TI+ * V V * _ 1 4 36 0,25 3 15 10 0,4 0,2


TL07IC TI+ * V V * — 1 7 36 2,5 3 10 10 - 0,2 0,05

TL081B TI + * V V * — 1 7 36 2,8 2 3 10 — 0,2 0,01


0РА121 ВВ * - - * - 1 10 36 4 0,5 2 3 10 0,05 4 пА
OPA128L ВВ * - - * _ 1 10 36 1,5 0,14 0,5 - 5 75 фА 30 фА
LF351 NS+ * V V * - 1 10 36 3,4 5 10 10 - 0,2 0,1

355В NS+ * - - * - 1 10 36 4 3 5 5 - 100 пА 0,02

356В NS+ * - - * - 1 10 36 7 3 5 5 - 100 пА 0,02

LF411 NS + * V * _ 1 10 36 3,4 0,8 2 7 20 0,2 0,1


LFnnn NS — * — 1 6 36 25 1 — — — 100 пА 50 пА

LF441 NS * V V * 1 10 36 0,25 1 5 10 20 0,1 0,05

LF455B NS * - - * - 1 10 36 4 0,18 0,4 3 4 50 пА 20 пА

LF456B NS * - - * - 1 10 36 8 0,18 0,4 3 4 50 пА 20 пА

AD549L AD * - - * - 1 10 36 0,7 0,3 0,5 5 10 60 фА 20 фА

AD611K AD * - - * - 1 10 36 2,5 0,25 0,5 5 10 0,05 0,025

LT1057A LT - * V - - 1 20 40 3,8 0,15 0,45 1,8 7 0,05 0,04

НА5180 НА * - - * - 1 10 40 1 0,1 0,5 5 - 0,001 200 фА

МС34001А МО * V V * 1 8 36 2,5 1 2 10 0,1 0,05


МС34181 МО * V V * - 1 3 36 0,2 0,5 2 10 - 0,1 0,05
Продолжение табл. 4.1.

е Ско­ Макс. Равен ли


при рость диффе­ размах напря­
1 кГц нарас­ 4 КОСС, КОНП, ренци­ жению пит.ж)
тип., тания тип., дБ дБ альное Примечания
нВЛ/Гц тип.а), МГц выход­ Вход Выход
В/мкс ное на­ i/+ U_ Ut U_
пряже­
ние, В' 1
£

41 3 1 75 75 74 8 30 + Небольшая мощность;
улучшенный вариант
TL061
18 24 3 75 75 94 30 30 + Небольшие искажения;
улучшенный вариант
TL071/081
42 3,5 1 70 70 70 5 30 - - - - Небольшая мощность
18 13 3 70 70 88 10 30 Более низкий уровень
шума
18 13 3 80 80 94 10 30 - - - -
8 2 2 86 86 110 10 36 - - - - Низкий уровень шума
27 3 1 90 90 110 10 36 - - - - Очень малое смещение
25 13 4 70 70 88 10 30 + Сдвоенный 353, счетве­
' " ренный 347
20 5 2,5 85 85 94 20 30 + Широко распространен­
ный ОУ
12 12 5 85 85 94 20 30 + Более быстродействую­
щий вариант ОУ типа
355
25 15 4 70 70 88 20 30 + - - _ Образцовый ОУ
3,5 20 10 80 80 100 15 2 + Самый низкий уровень
шума среди полевых с
р—я-переходом
35 15 4 70 70 88 4 30 + Небольшой ток, образцо­
“ ” вый ОУ
12 5 3 86 86 106 100 м 40 + Небольшой уровень шу­
ма, управляет емко­
стью 0,01 мкФ
10 12,5 5 86 86 106 100м 40 + Небольшой уровень шу­
ма, управляет емко­
стью 0,01 мкФ
35 3 1 90 90 110 10 36 — — — Электрический; имеет за­
щитный вывод
18 13 2 80 80 94 20 20 Небольшие искажения,
общего назначения на
полевых транзисторах <
р—л-переходом
13 13 5 86 88 104 10 40 Точный сдвоенный/счет­
веренный ОУ на поле­
вых транзисторах с
р - л-переходом
70 7 2 90 90 106 15 40 Очень небольшое темпе­
ратурное смещение; с
приличным уровнем
шума
25 13 4 80 80 94 20 30 ■ _ - -
38 10 4 70 70 88 8 36 Небольшая мощность,
быстродействующий,
небольшие искажения
Тип Коли­ Предельное Напряжение Ток
чество ОУ •е-г напряжение it
1S
а в одном X 9 я питания, В нО

ео корпусе6* Ё «* X« «
5 3
сго *сСоО 3 §
5 1 мин. макс, 1 < Сдвиг, мВ
8
S оQ. Дрейф, Смеше­ Сдвиг,
<4 S
3 е 1 2
ю ^ мкВ/°С ние, нА нА
О S. е; 1 1
& эо>
©
S
1 2 4 £ со
X ££ -&
5
s
It тип. макс. тип. макс. макс. макс.

Полевые с р—л-переходом, выходящие из употребления


ОР-15Е РМ+ * V - * 1 10 44 4 0,2 0,5 2 5 0,05 0,01

ОР-16Е РМ+ * _ _ * - 1 10 44 7 0,2 0,5 2 5 0,05 0,01

AD515L AD * _ - * - 1 10 36 1,5 0,4 1 - 25 80 фА 80 фА

AD542L AD * _ - »> - 1 10 36 1,5 - 0,5 _ 5 0,025 2 пА‘ф>


AD544L AD * _ - * - 1 10 36 2,5 - 0,5 - 5 0,05 0,5пА|ф>
AD545L AD * _ - * - 1 10 36 1,5 - 0,5 - 5 0,001 -
1СН8500А 1L * _ — * — 1 16 36 2,5 — 50 — — 10 фА 10 фА

Полевые МОП-транзисторы
OP-8QE РМ * - * - 1 4,5 16 0,2 0,4 1 - - 60 фА 10 фА

TLC27L2A TI V * V - - 1 3 18 0,04 - 5 0,7 - 1 пА|ф) 1 пА<ф>

TLC27M2A TI V * V - - 1 3 18 0,6 - 5 2 - 1 пА'"11 1 пА<ф|

TLC272A TI V * V - - 1 3 18 4 - 5 5 - 1 пА|ф| 1 пА'ф>

TLC279C TI - * - - 1 3 18 8 0,4 1,2 2 - 0,7пА(ф| 0,1пА'ф>


*
LMC660A NS - - - 1 5 16 2,2 1 2 1,3 5 20 пА 20 пА

TLC1078C TI _ * V _ _ 1 1,4 16 0,05 0,18 0,6 1


_ 0,7пА<ф>0,1пА|ф|
ALD1701 AL * _ - - - 1 2 12 0,25 - 4,5 7 0,03 0,025

ALD1702 AL * 1 2 12 2 4,5 7 0,03 0,025

СА3140А RC * V - * —
1 4 44 6 2 5 6 _
0,04 0,02

САЗ 160А RC * V - * * 1 5 16 15 2 5 10 - 0,03 0,02

СА3410А RC - _ * - - 1 4 36 10 3 8 10 - 0,03 0,01

СА3420А RC * - - * * 1 2 22 1 2 5 4 - 0,005 0,004

СА5160А RC * V - * * 1 5 15 0,4 1,5 4 - - 0,01 0,005

СА5420А RC * - _ * * 1 2 20 0,5 1 5 _ -
0,001 0,5 пА
СА5422 RC * - - * - 1 2 20 0,7 1,8 10 20 - 0,005 0,004
Продолжение табл. 4.1.

е Ско­ Макс. Равен ли


при рость <5 диффе- размах напря-
1 кГц нарас­ 4 КОСС, КОНП, ренци- жению пит.ж|
тип., тания тип., дБ дБ альное _______________ Примечания
нВ/л/Гц типД МГц выход- Вход Выход
В/мкс ное на- У+ U_ U+ U_
пряже­
ние, Вс>
Ч *s

15 17 6 86 86 100 15 40 — — — Быстродействующий пре­


цизионный ОУ типа 355
15 25 8 86 86 100 20 40 Быстродействующий пре­
цизионный ОУ типа 356
(подкорректированный
ОР-17)
50 1 0,4 70 74 94 10 20 Очень небольшое смеще­
“ " “ " ние, прецизионный
30 3 1 80 80 ПО 10 20 - - Прецизионный
18 13 2 80 80 94 15 20 - - - _ Прецизионный
35 1 0,7 76 74 92 10 20 - - _ - Прецизионный
40 0,5 0,5 60 80<Ф' 100'*' 10 0,5 Очень небольшое смеще­
ние

70 0,4 0,3 60 60 100 10 16 - + - + Электрометрический ОУ,


/ л< 20 пА при 125 ”С
70 0,04 0,1 70 70 90 10 18 + + Образцовый ОУ на
“ “ КМОП-транзисторах
38 0,6 0,7 70 70 86 10 18 + + Образцовый ОУ на
“ " КМОП -транзисторах
25 4,5 2,3 70 65 80 10 18 + + Образцовый ОУ на
' КМОП -транзисторах
25 4,5 2,3 65 65 80 10 18 + + Самое хорошее значение
(/с>1 в серии 272
22 1,7 1,5 72 80 112 15 16 + + + Образцовый счетверен­
ный ОУ на КМОП-
транзисторах
68 0,05 0,11 75 75 114 15 16 - + - + Небольшой сдвиг
0,7 0,7 65 65 90 0,5 12 + + + + Полный размах вых. на­
пряжения; характерис­
тики определяются для
напряжения питания
+ 5В
100 2,1 1,5 65 65 94 2 12 + + + + Полный размах вых. на­
пряжения; характерис­
тики определяются для
напряжения питания
+ 5В
40 7 3,7 70 76 86 + 10, 8 + ~ +
_ 11
72 10 4 80 76 94 12 8 — + + + МОП-транзисторы на
вх/вых (нескорректиро­
ванный 3130)
40 10 5,4 80 80 86 6 16 — + Быстродействующий ОУ,
“ “ заменяет 324
62 0,5 0,5 60 70 86 2 15 + + + Малый ток / , хорошая
“ зашита по входу
— 10 4 _ — 90 1 9 + + + Выход на КМОП-транзи­
“ сторах
- 0,5 0,5 - - 85 0,5 15 - + + + Аналог 3420
1 1 60 60 80 2 15 — + + + Нетрадиционная двухсек­
ционная конструкция
Тип Коли­ Предельное Напряжение Ток
чество ОУ •© ■с напряжение
в одном *1 X
:5
3
<U
питания, В
корпусе61 3 5
со
*03 i 5 £ 4SJ '<
£ оCl. 05
мин. макс , Я 5 Сдвиг, мВ Дрейф, Смеше­ Сдвиг,
со
5. S X 1s й
05 £4) мкВ/С ние, нА нА
О g, э ■Т" g О. *
X 51 яs
S
4 5 1 тип. макс. тип. макс. макс. макс.
CиL
е 1 2
03 С -

ICL7612B IL+ * - - - - 1 3 18 2,5 - 5 5 - 0,05 0,03

ICL7641B IL+ V V * - - 1 1 18 2,5 - 5 5 - 0,05 0,03

Прерыватель стабилизированный
МАХ420Е МА * - _ - - 1 6 33 2 0,001 0,005 0,02 0,05 0,03 0,06

МАХ422Е МА * - - - - 1 6 33 0,5 0,001 0,005 0,02 0,05 0,03 0,06

LMC668 A NS * - - - - 1 5 16 3,5 0,001 0,005 0,05 - 0,06 -

TSC900A TS * - - - - 1 4,5 16 0,2 — 0,005 0,02 0,05 0,05 0,5пА|ф|


TSC901 TS * V V — - 1 5 32 0,6 0,007 0,015 0,05 0,15 0,05 0,1

TSC911A TS * V V - - 1 4 16 0,6 0,005 0,015 0,05 0,15 0,07 0,02

TSC915 TS * _ _ _ _ 1 7 32 1,5 0,01 0,1 0,1 0,1 0,1


TSC918 TS * - - - - 1 4,5 16 0,8 - 0,05 0,4 0,8 0,1 0,5пА|ф|
LTC1050 LT * — _ — — 1 4,8 16 1,5 0,5 мкВ 0,005 0,01 0,05 0,03 0,06

LTCI052 LT * - - - - 1 4,8 16 2 0,5 мкВ 0,005 0,01 0,05 0,03 0,03

ICL7650 IL+ * - - - - 1 4,5 16 3,5 0,002 0,005 0,1 - 0,01 5пА,ф|

ICL7650S IL * - - - - 1 4,5 16 3 0,7 мкВ 0,005 0,02 0,1 0,01 0,02

1CL7652 1L+ * - - - - 1 5 16 3,5 0,002 0,005 0,1 - 0,03 25пА,ф>

ICL7652S IL * - - - - 1 5 16 2,5 0,7 мкВ 0,005 0,01 0,06 0,03 0,04

TSC76HV52 TS * — — — — 1 7 32 1,5 — 0,01 — 0,3 0,1 0,1

Высоковольтные
LM343 NS * - * _ 1 10 68 5 2 8 - - 40 10
LM344 NS * _ - * * НК 10 68 5 2 8 - - 40 10

ОРА445В ВВ * - - * - 1 20 100 4,5 1 3 10 - 0,05 0,01

1436 МО+ * — - * * 1 10 80 5 5 10 _ — 40 10
НА2645 НА * - - * - 1 20 80 4,5 2 6 15 - 30 30
3580 ВВ * - - * - 1 30 70 10 - 10 - 30 0,05 -

3581 ВВ * - - * - 1 64 150 8 - 3 - 25 0,02 0,02


3582 ВВ * - - * - 1 140 300 6,5 - 3 - 25 0,02 -
3583 ВВ * * 1 100 300 8,5 3 25 0,1 0,1

3584 ВВ * _ _ * * НК 140 300 6,5 3 _ 25 0,1 0,1


Продолжение табл. 4.1.

е Ско­ Макс. Равен ли


при рость <
s диффе­ размах напря­
1 кГц нарас­ 4 КОСС, КОНП, ренци­ жению пит.ж|
тип., тания тип., дБ дБ альное Примечания
нВ/>/Гц тип.л), МГц выход­ Вход Выход
В/мкс ное на­ t/+ U _ U „ и _
пряже­
ние, В"
Я s*

100 1,6 1,4 60 70 80 5(н) 18 + + + + Программируемый; пол­


ный размах напряжения
на вх/вых
100 1,6 1,4 60 70 80 51») 18 + + + Общего назначения низ­
ковольтный

1, 1<»> 0,5 0,5 120 120 120 +2 , 33 - + + + ±15 В i/n; 0,1 мкВ/мес;
-15 430 имеет Свнутр
1, 1" 0,13 0,13 120 120 120 + 0 ,2 , 33 + + + ±15 В Un; 0,1 мкВ/мес;
-8 432 имеет С>нуп1
2<«> 2,5 1 120 120 120 +5, 16 + + +
-15
4<к) 0,2 0,7 110 120 120 2,5 16 - + - + Маломощный
5<ю 2 0,8 120 120 120 — 36 + + Питание ±15 В; внутрен­
“ ние конденсаторы
И») 2,5 1,5 110 112 116 3,5 16 + + Внутренние конденсато­
ры; с приличным уров­
нем шума
0 ,8 '“' 0,5 0,5 120 120 120 10 36 — + — + Питание +15 В
4(к) 0,2 0,7 98 105 100 - 16 - + - + Недорогой
1,6 "° 4 2,5 120 125 130 +3, 16 + + + Внутренние конденсато­
~
-2 0 ры; 50 нВ/мес
1,5“ 4 1,2 120 120 120 +5, 16 + + + Улучшенный вариант
-15 7652; 0,1 мкВ/мес
2<ю 2,5 2 110 120 120 +5, 16 + + + 0,1 мкВ/мес
"
-2 0
2<ю 2,5 2 120 120 136 +4, 16 — + + + Улучшенный вариант
-2 0 7650; 0,1 мкВ/мес
0,7"“ 0,5 0,4 110 110 120 +5, 16 + + 0,15 мкВ/мес
"
-2 0
0,7110 1 0,5 120 120 136 +4, 16 — + + Улучшенный вариант
-2 0 7652; 0,15 мкВ/мес
0,5 0,5 120 120 120 10 32 + + Питание ±15 В

35 2,5 1 70 74 97 10 68
_ _ _ _ Монолитный
35 30 10 70 74 97 10 68 — — — Нескорректированный
343
16 10 2 80 80 100 15 80 ~ ~ — С небольшим смещением,
монолитный
50 2 1 70 80 97 10 80 - _ _ - Монолитный
30 5 4 74 74 100 10 37 - ~ - - Монолитный
15 15 5 86 |ф| 87|ф| 106"» 60 70 - - - “ Гибридная схема
25 20 5 ПО"» 105"» 112"» 30 150 - - - - Гибридная схема
25 20 5 ПО"» 105'*' 118'*» 15 300 - - - - Гибридная схема
50 30 5 ПО'*' 84"» 94 75 300 Быстродействующий на
палевых транзисторах
с р—л-переходом; гиб­
ридная схема
50 150 20 ПО"» 84"» 100 15 300 Нескорректированный на
полевых транзисторах с
р~п-переходом, гиб­
ридная схема
Тип ^ Коли­ * Предельное Напряжение Ток
чество ОУ ■ё-с напряжение X
н
S в одном 3 2О я* питания, В он-
СВ S * я
корпусе6’ с *л цS >х
1L- 3
Ьй
СО ё 5 “ мин. макс, « < Сдвиг, мВ Дрейф, Смеше­ Сдвиг,
4а ^н ч -—
сз а 1 5 1 ю мкВ/”С ние, нА нА
S S
Э s 2 ё U
S 1 2 4 Ё1 = § В ьй тип. макс. тип. макс. макс. макс.
ё »
© CиL CQ 5 * с 3
Мощные монолитные
LM12 NS * _ _ - - 1 20 80 80 2 7 50 300 100

OPA541B ВВ * - - - - 1 20 80 25 0,1 1 15 30 0,05 0,03

LM675 NS * - - - - 10 16 60 50 1 10 25 2мкА 500

SGI 173 SG 10 50 20 30 500 150

” Перечень указанных в таблице фирм-изготовителей приводится ниже (значок « + » означает, что схему произ-
водят несколько фирм):

AD - Analog Devices НО - Honywell RC - GE/RCA


AL —Advanced Linear Devices HS - Hybrid Systems RO - Rockwell
AM - Advanced Micro Devices ID - Integrated Device Technology SG - Silicon General
AN - Analogic IL - DE/Intersil SL - Siliconix
AP - Apex IN - Intel SN - Signetics
BB - Burr-Brown IR - International Rectifier SO - Sony
ВТ - Brooktree KE — M. S. Kennedy Corp ST - Supertex
CL - Comlinear LT - Linear Technology Corp TI - Texas Instruments
CR - Crystal Semiconductor MA —Maxim TM —Telmos
CY - Cypress MN - Micro Networks TO - Toshiba
DA - Datel MO - Motorola TP - Teledyne Philbrick
EL - Elantec MP - Micro Power Systems TQ - TriQuint
EA - Fairchild (National) NE - NEC TR - TRW
FE - Ferranti NS - National Semiconductor TS - Teledyne Semiconductor
GE —General Electric OE —Optical Electronics Inc. UT - UTC
GL—General Instrument PL —Plessey XI - Xicor
HA - Harris PM - Precision Monolithics XR —Exar
HI —Hitachi RA - Raytheon Z1 - Zilog

с полевыми М О П -транзисторами типа нениями в технологическом процессе из­


ICH8500 (входной ток равен 0,01 пА). Как готовления ОУ, так как в отсутствие та­
правило, транзисторные ОУ, предназна­ ких отклонений токи смещения на двух
ченные для использования в системах с симметричных входах были бы одинако­
высоким быстродействием, имеют боль­ вы. В результате даже при наличии на
шие токи смещения. входах источников с одинаковыми сопро­
Входной ток сдвига. Входным током тивлениями падения напряжения на вхо­
сдвига называют разность двух входных дах ОУ будут разными и, следовательно,
токов. В отличие от входного тока сме­ между входами будет существовать раз­
щения ток сдвига / сдв обусловлен откло­ ность напряжений. Н емного позже вы
Продолжение табл. 4.1.

еш Ско­ Макс. Равен ли


при рость <S диффе- размах напря-
1 кГц нарас­ 4 КОСС, КОНП, ренци- жению пит.ж|
тил., тания тип., дБ дБ альное _______________ Примечания
нВ/Vru тип.а), МГц выход- Вход Выход
В/мкс ноена- U+ U_ U+ U_
пряже­
ние, В' 1

90 9 0,7 75 80 94 10А 80 — - - - Защита по полному диа­


пазону выходного на­
пряжения
50 10 1,6 95 100 90 10А 80 - - — - Изолированный корпус;
внутренняя зашита от­
сутствует
- 8 5,5 70 70 70 3000 60 - - — - Зашита по полному диа­
пазону выходного на­
пряжения
- 0,8 1 76 80 92 3500 50 — — - - Отключение по превыше­
нию температурного
режима

161 «*» указывает на количество ОУ в одном корпусе; значок «V» — означает, что эта же фирма выпускает
схему с другим количеством ОУ в одном корпусе; с увеличением плотности упаковки возможно некоторое
ухудшение электрических характеристик (в особенности напряжения сдвига). <■’ Предусмотрены контакты
для внешней коррекции. |г| Величина, соответствующая минимальному значению коэффициента усиления,
которое еще не приводит к потере устойчивости в схеме. Операционные усилители, в которых предусмотрены
контакты для внешней коррекции, могут работать при меньшем значении коэффициента усиления при усло­
вии, что используется соответствующая схема внешней коррекции. НК означает, что операционный усили­
тель нескорректирован - для любого значения коэффициента усиления ОУ с замкнутой обратной связью
необходимо использовать внешний конденсатор. При минимальном стабильном значении коэффициента
усиления при замкнутой петле ОС (обычно это - единичное усиление), если не оговорено иначе.1' 1 Макси­
мальное значение, при котором еще не повреждается микросхема; не должно превышать предельного напря­
жения питания. <ж| « + » в колонке ВХ означает, что диапазон входного синфазного сигнала включает это
значение питающего напряжения; « + » в колонке ВЫХ означает, что размах выходного напряжения ограни­
чен напряжениями питания. 1,1 Резистивно-диодная схема обеспечивает на дифференциальном входе ток боль­
ший, чем дает источник +1 В. |к| мкВ от пика до пика, 0,1-10 Гц. ,л) Токочувствительный инвертирующий
вход (схема обратной связи по току); токи смещения на входах могут существенно отличаться друг от друга.
Указанное значение тока смещения соответствует неинвертируюшему входу. (*° «Необработанный» выходной
сигнал (без ограничения по току) снимается с контакта 8 в дополнение к тому, что обычный выходной
сигнал (с ограничением по току) снимается с контакта 6 ; ограничение определяется значениями +15 мА. |н1
мин/макс (наихудший вариант). ,ф) Типичное значение.

увидите, как это учитывают при разработ­ заземлении другого), которое обычно зна­
ке схем. чительно меньше, чем сопротивление для
Обычно ток сдвига составляет пример­ синфазного сигнала (типичный входной
но одну десятую часть тока смещения. Для каскад выглядит как дифференциальный
операционного усилителя типа 411 типич­ усилитель с источником тока). Для опе­
ным является значение / = 2 5 пА. рационного усилителя типа 411 со входом
« Гк СДВ на полевом транзисторе входное сопро­
Входной импеданс. Входной импеданс
определяется входным сопротивлением тивление равно примерно 1012 Ом, а для
для дифференциального сигнала (импе­ операционных усилителей со входами на
данс со стороны одного из входов при б и п о л яр н ы х тр ан зи сто р ах , н ап ри м ер
216 Глава 4

типа 741, со став л яет о ко л о 2 М Ом. разрушение схемы. Для операционны х


В связи с тем что отрицательной обрат­ усилителей типа 411 это ± 15 В (при этом
ной связи присущ эф ф ект сам опроиз­ не допускается превышение отрицатель­
вольной установки входов (отрицательная ного питающего напряжения, если оно
обратная связь стремится поддерживать на оказывается меньше указанного предель­
обоих входах одинаковое напряжение и ного значения).
значительно уменьшает дифференциаль­ Входной диапазон дифференциального
ный входной сигнал), для практики Z bx сигнала. Для некоторых операционных
имеет достаточно большие значения и не усилителей допустимое напряжение меж­
является столь лимитирующим парамет­ ду входами ограничено такими малыми
ром, как входной ток смещения. значениями, как, например, ±0,5 В, прав­
Входной диапазон синфазного сигнала. да, для больш инства схем допустимые
Для того чтобы операционный усилитель дифференциальные входные сигналы мо­
работал правильно, напряжение на его гут достигать значения напряжения пита­
входах долж но находиться в пределах ния. Превышение заданного максимума
определенного диапазона значений, ко­ может вызвать ухудшение характеристик
торый обычно не превышает полного ди­ или разруш ение схемы операционного
апазона напряжения питания. Если на­ усилителя.
пряжение на входах выходит за пределы Выходное сопротивление; зависимость
этого диапазона, то коэффициент усиле­ размаха выходного напряжения от сопро­
ния ОУ может резко измениться и даже тивления нагрузки. Выходное сопротив­
поменять знак. Для операционного усили­ ление Rmn — это собственное выходное со­
теля типа 411, использующего источники противление ОУ без обратной связи. Для
± 15 В, входной диапазон синфазного сиг­ операционного усилителя типа 411 оно
нала определяется как минимум значени­ равно приблизительно 40 Ом, а для не­
ями + 11 В. Однако фирма-изготовитель которых маломощных ОУ оно может дос­
утверждает, что схема типа 411 будет ра­ тигать нескольких тысяч ом (см. рис.
ботать и в том случае, когда входной диа­ 7.16). Обратная связь делает выходное
пазон синфазного сигнала будет ограни­ сопротивление пренебрежимо малым (или
чен сверху положительным питающим очень увеличивает его в случае обратной
напряжением, при этом, правда, суще­ связи по току); поэтому большое значение
ственно ухудшатся характеристики. Если имеет максимально допустимый выходной
напряжение на одном из входов ограни­ ток, равн ы й , как правило, п рим ерн о
чить отрицательным питающим напряже­ 20 мА. Часто зависимость размаха вы­
нием, то последствия такой работы ОУ ходного напряжения Uвы х. р ззм от сопро-
могут быть сокрушительными: возможен тивления нагрузки изображают в виде гра­
поворот фазы и насыщение на выходе фика, а иногда просто приводят несколь­
по положительному питающему напряже­ ко значений для типичных сопротивле­
нию. ний нагрузки. М ногие операц и онн ы е
Существуют такие ОУ, у которых вход­ усилители обладают неодинаковыми (асим­
ной диапазон синфазного сигнала огра­ метричными) возможностями по управ­
ничен снизу отрицательным питающим лению последующим каскадом, т. е. они
напряжением, например ОУ типа LM358 потребляют больше тока, чем производят
(хороший сдвоенный ОУ), а также ОУ (или наоборот). Для операционного уси­
типа LM10, СА3440 или ОР-22, или огра­ лителя типа 411 возможный размах выход­
ничен сверху положительным питающим ного напряжения на 2 В меньше, чем ди­
напряжением, например, ОУ типа 301, апазон, определяемый значениями UKK и
ОР-41 или серия интегральных схем 355. и ээ на нагрузке с сопротивлением более
Кроме рабочего входного диапазона син­ чем 2 кОм. Если сопротивление нагруз­
фазного сигнала, определяются макси­ ки намного меньше, чем 2 кОм, то раз­
мально допустимые входные напряжения, мах будет небольшим. Для некоторых ОУ
при превыш ении которых происходит размах выходного напряжения ограничен
Обратная связь и операционные усилители 217

источником отрицательного напряжения насытится, и выходное напряжение будет


(н ап р и м ер , ОУ т и п а С А 3130, 3160, равно либо UKK, либо £/,э (заранее пред­
ALD1701 и ICL76U'). Замечательной схе­ сказать значения нельзя). Разность вход­
ме LM10 на биполярных транзисторах так­ ных напряжений, необходимая для того,
же присуще это свойство, но без огра­ чтобы выходное напряжение стало равно
ничений по диапазону питающего напря­ нулю, называют входным напряжением
жения, как в операционном усилителе с сдвига, £/дв (представим себе, что к одно­
выходами на МОП-транзисторах (обычно му из входов последовательно подключе­
это ±8 В максимум). на батарея с таким напряжением). Обыч­
Коэффициент усиления по напряжению но в операционном усилителе бывает пре­
и фазовый сдвиг. Обычно коэффициент дусм отрена возм ож ность ум еньш ения
усиления по напряжению Аид для посто­ входного напряжения сдвига до нуля (на­
янного тока лежит в пределах от 10 ООО стройка нуля). Для ОУ типа 411 между
до 100 000 (часто его определяют в деци­ контактами 1 и 5 следует включить потен­
белах), он уменьшается с ростом часто­ циометр на 10 кОм, его движок должен
ты, и на частоте, лежащей в пределах от быть подключен к источнику 1/ээ.
1 до 10 МГц (ее обозначаю т^), коэффи­ Для точных систем не меньшее значе­
циент усиления уменьшается до единицы. ние, чем сам сдвиг, имеет дрейф входного
Обычно строят график зависимости коэф­ напряжения сдвига под влиянием темпе­
фициента усиления по напряжению при ратуры и времени, так как начальный
разомкнутой цепи обратной связи от час­ сдвиг можно сделать равным нулю. Для
тоты. Такой график, построенный для операционного усилителя типа 411 типич­
операционного усилителя с внутренней ным является напряжение сдвига, равное
коррекцией, показывает, что спад усиле­ 0,8 мВ (максимальное значение 2 мВ) и
ния с наклоном 6 дБ/октава начинается коэффициент, определяющий дрейф сдви­
на достаточно низкой частоте (для ОУ типа га под влиянием температуры, равный
411 - на частоте около 10 Гц); такая зави­ 7 мкВ/°С. Коэффициент, определяющий
симость создается намеренно, как вы уз­ дрейф сдвига под влиянием времени, из­
наете из разд. 4.32, — тем самым обеспе­ готовители обычно не оговаривают. Для
чивается стабильность работы ОУ. Спад прецизионного операционного усилителя
характеристики (такой же, как у просто­ типа ОР-77 с помощью лазерных методов
го фильтра низких частот) приводит к подгонки напряжение сдвига устанавли­
тому, что на всех частотах выше сопряга­ вают не превышающим 10 мкВ, темпера­
ющей частоты между входом и выходом турный коэффициент напряжения сдвига
(при разомкнутой цепи обратной связи) (ТКНсдв) для этой схемы равен 0,2 мкВ/°С,
существует постоянный сдвиг фазы, рав­ а временной дрейф определяется коэффи­
ный 90°, увеличивающийся до 120—160°, циентом 0,2 мкВ/мес.
по мере того как коэффициент усиления Скорость нарастания. «Компенсацион­
приближается к единице. Сдвиг фаз на ная» емкость операционного усилителя
180° в момент равенства коэффициента (о ней пойдет речь в разд. 4.32) и неболь­
усиления единице приводит к появлению шие внутренние токи ограничивают ско­
положительной обратной связи (автоко­ рость изменения выходного напряжения
лебаниям), поэтому разность между ф а­ даже при условии большого разбаланса
зовым сдвигом на ч а с т о т е ^ и 180° назы­ входов. Предельную скорость изменения
вают «запасом по фазе». выходного напряжения обычно называют
Входное напряжение сдвига. Отклоне­ скоростью нарастания. Для ОУ типа 411 она
ния, возникающие в процессе изготовле­ равна 15 В/мкс, у маломощного ОУ ско­
ния операционных усилителей, приводят рость нарастания обычно не превышает
к тому, что входные каскады ОУ имеют 1 В/мкс, быстродействующий ОУ может
некоторую разбалансировку. Если при иметь скорость нарастания порядка 100 В/
нулевом входном сигнале входы ОУ со­ мкс, а для сверхбыстрого буфера типа
единить между собой, то выход схемы LH0063C скорость нарастания составляет
218 Глава 4

+ 14 В ^ жения после того, как с помощью регули­


ровки входны е сдвиги бы ли сведены
3 В/мкс
К . - 3 4 кГц)
практически к нулю. Для прецизионных
систем следует использовать так назы ­
ваемые «измерительные» усилители, име­
ющие малый дрейф. У таких усилителей
д ля у м е н ь ш е н и я п агуб н ого в л и я н и я
Макс. скорость
нарастания в градиента температуры, возникающего в
-1 4 В . точке пересече схеме, выходной каскад подключают к
ния нуля
внешней нагрузке с сопротивлением не
Рис. 4.29. Искажение, обусловленное
менее 10 кОм. К этому вопросу мы вер­
скоростью нарастания. немся в гл. 7.
Для полноты изложения следует упо­
6000 В/мкс. Скорость нарастания огра­ мянуть, что на характеристики ОУ накла­
ничивает амплитуду неискаженного сину­ дывают ограничения такие параметры, как
соидального выходного сигнала при пре­ коэффициент ослабления синфазных сиг­
вышении некоторой критической часто­ налов (КОСС), коэффициент ослабления
ты (частоты, на которой для получения влияния источника напряжения питания
полного размаха выходного напряжения (К О Н П ), шумовое входное напряжение и
скорость нарастания ОУ долж на быть шумовой ток (еш, /ш) и переходные иска­
максимальной, рис. 4.29), тем самым жения на выходе. Эти параметры следует
объясняется введение в специф икации учитывать только в прецизионных схемах
«графика зависимости размаха выходного и в усилителях с низким уровнем шумов,
напряжения от частоты». Для синусоидаль­ которые мы рассмотрим в гл. 7.
ного сигнала, частота которого равна
/ герц, а амплитуда - А вольт, минималь­
ная скорость нарастания должна составлять 4.12. Эффекты ограничений ОУ на работу
2izAF вольт в 1 с. схем на их основе
Для операционных усилителей с внеш­
ней коррекцией скорость нарастания за­ Вернемся к инвертирующему усилителю
висит от используемой схемы коррекции. и рассмотрим его еще раз, учитывая из­
В общем, коррекции, предназначенной в е с т н ы е н ам т е п е р ь о г р а н и ч е н и я .
для схем с единичным усилением, соот­ Покажем, как они влияют на работу схе­
ветствует самая малая скорость нараста­ мы и как их учесть при разработке ОУ.
ния; она увеличивается примерно в 30 раз Используя этот пример, вы сможете ра­
при коррекции 100-кратного усиления. зобраться и с другими схемами ОУ. На
Подробнее мы рассмотрим этот вопрос в рис. 4.30 вновь показан инвертирующий
разд. 4.32. операционный усилитель.
Влияние температуры. Все рассмотрен­ Коэффициент усиления при разомкнутой
ные выше параметры зависят от темпера­ цепи ОС. В связи с тем что коэффициент
туры. Однако это обычно не влияет на усиления при разомкнутой цепи ОС име­
работу схемы, так как, наприм ер, н е­ ет конечное значение, в усилителе с об­
большие изменения коэффициента усиле­ ратной связью коэффициент усиления по
ния почти полностью компенсирует обрат­ напряжению (коэффициент усиления при
ная связь. Более того, изменение этих па­ разомкнутой цепи ОС) в определенный
раметров под влиянием температуры, как момент начинает убывать. Этому момен­
правило, невелико по сравнению с их из­ ту соответствует частота, на которой ко­
менением от образца к образцу. эф ф ициент усиления при разомкнутой
Исключение составляют входное на­ цепи ОС приближается к значению /?2//?,
пряжение сдвига и входной ток сдвига. (рис. 4.31). Этот спад позволяет судить о
Их зависимость от температуры сказыва­ том, что семейство усилителей типа 411
ется в появлении дрейфа выходного напря­ о тн о си тся к кл ассу н и зк о ч а сто тн ы х
1

>10; 11 пр и
2
4
J
X u 3 = с
л I H
m
о 2» £I 2
«3 1 X£ >
.
&
Ii
p. I
2
Ю g а * 0
3 S « C
Q^
=1 я
X «
s
u. s

м к А — 10 мкА; 0 ко э ф ф . усиления
2 J 1 >
> « 2 2 Ч “ = «S U
1 I §■1 s- Й
w t_ x о >4 5 о л о S 6
a* g
ю
©5X О
с К § § s =
м * S я
х S
-. 8. а g
* и У
s О 5 1s §
s * i
о
Ю
э X & ОГ ' I 1 2

*
i sI
s «
:
S И" >£* *
s 3i 3 3 U
* 5
I tй ,л
>s
2
. CQ l-i.
e- а
5S 3
з
C
QX G
O 11 i !
S a
^ 111 i к | . i 8-3 g
1 H i О2 н g
я 2 я
О£ О с a
<3 <3
* §
s 2 5 ^ 2 s о
... _ _
я
1 “ я
,« Й * Я 111 о 4 о о Я
Sо ^

§ 5 8
s J-
a >, о.
я >.
Ё. Э 2
С и
. >» S .
05 2
и
я я
U 4
q. a
С С
)
I: Q,
Е
« с;=
I
: 8. g,
с с
2 М й
« g =g
U
.
С C
u. я «о
U
«*
S
jg
0,7

0,8
0,6

0,8
75
8

2
— Г
О »
П
S
O |

ток 0,02
н 5
го

0,4
0,3

0,2
5
v
>о ^ so 00 £ Г
О

2
1

I , Р- >о

°.S '
0 2 г ^
1 S н со
—<Nо о" ГЧ Г
О
U «

См. прим ечания к табл. 4.1; 61 «+» - для указанного типа схем ы ; V - п р о и зв о д и тся ; “ - програм м ируем ы й
0,85
3,0

3,0

3,0

я £
9,6

О
14
V
*)О
ОI Г
Ч oo in

7
ГЧ— 1 CN i— ro

= 1 мкА; е> к о э ф ф . усиления >2; л к о э ф ф . усиления >5; "> м и н ./м а к с ; ф| типичное з н а ч е н и е .


10,3
1,0
3,5

3,5

24

О ‘/‘i I оо г- о
о м
ШТ Г 1 1
1 го гч —Г О I s g s

ю35 so
4
>3 .Г Г
М so
О.5 *< Яго
го — О1 0 ^Os О*-го
fe V 2 S
8
44

40
36

\о 40гч
1

8 s« Г
ОГОго 5 5 5 §
5 g «
4 * s
gt ц
f? 8 Я
*
10

о
4

6 t x 2 a w Г
ООО оо оо 40О
О Tt
С I с
0,001"»

0,001
0,05

0,05

0,15
100
0,2

40

90
40

s ^ ^
2

Г
О
o' “ o' so
о

a# £
0,6

0,6

« gC
O ООО Г
ООО i/o
^ a * Г
М—ГЧ ё Ч
ГЧо ©о"
Г
Ч
о £.
^2
^ ч s
0,025

0,025

0,025

0,025
0,04

0,15

0,15

юш oI2 >o
0,5
3
2

о^гч
ss в
* 42 § 0 ^ 0
D. ej о о"

1 1> >> 1 1 > 1 > 1 1 1 I > I I


2
л V
2 «
£ >*
9 >> + +> > 1 > 1 + 1 >> I > I I I
о c
a
a о
* ++ 1 >+ + + ++ 1 + ++ + + + + +
РМ+

РМ+
NS+

LT+

, qirsiHiroacModu +
НА
AD
NS

LT

LT

вв

2н О
TLC27M2A TI

-ewdH<j) C
L,J < 9
— a . o£ <
НА5147А

ОРА111В
LT1028A

LT1013A

LT1012C
LT1055A

Г
Ч < .
LM358A
AD7UK

гг) о «
ОР-27Е

ОР-37Е

ОР-77Е

и
LF411

3Г' ° о ^
О h 5- <i Q
< J ОО <
220 Глава 4

R рис. 4.32 представлен график для опера­


ционного усилителя типа 411 со скорос­
тью нарастания 15 В/мкс. При скорости
нарастания s выходная амплитуда ограни­
чена значением А ™™ка< s/я /д о я синусои­
дального сигнала, имеющего частоту /;
тем самым объясняется наличие участка
спада на графике с наклоном 1 /f Гори­
зонтальный участок на графике соответ­
ствует ограничению размаха выходного на­
пряжения источников питания.
Попутно отметим, что ограничения,
связанные со скоростью нарастания ОУ
можно использовать на благо, для устра­
нения шумовых импульсов полезного сиг­
нала с помощью так называемой нелиней­
ной низкочастотной фильтрации. Суть ме­
тода состоит в следующем: намеренно
ограничивая скорость нарастания можно
существенно уменьшить острые импуль­
сы, никак не искажая при этом фоновый
сигнал.
Частота, Гц
Выходной ток. В связи с тем что вы­
ходной ток операционного усилителя ог­
Рис. 4.31. Зависимость коэффициента усиления от раничен, размах выходного напряжения
частоты для ОУ типа LF411 («диаграмма Боде»). на низкоомных нагрузках также ограни­
1 — коэффициент усиления при разомкнутой ОС, чен. На рис. 4.33 представлен график для
2 — коэффициент усиления при замкнутой ОС,
о п е р а ц и о н н о г о у си л и тел я ти п а 411.
В прецизионных схемах как раз и нужно
/
J эл Б = г/- ^ ограничивать выходные токи для того,
(зам кн у то й О С )
чтобы избежать появления в кристалле схе­
усилителей; на частоте 50 кГц коэффи­ мы температурных градиентов, связанных
циент усиления при разомкнутой цепи ОС с рассеянием слишком большой мощ но­
падает до 100, а ч а с т о т а ^ равна 4 МГц. сти в выходном каскаде.
Обратите внимание, что коэф ф ициент
усиления при замкнутой цепи ОС всегда
меньше, чем коэффициент усиления при
разомкнутой цепи ОС; это означает, что
если на основе ОУ типа 411 построить,
например, усилитель со 100-кратным уси­
лением, то на частотах около 50 кГц его
усиление заметно ослабеет. Более точно
мы опишем этот эффект чуть ниже (разд.
4.25), когда будем рассматривать транзи­
сторные схемы с обратной связью, име­
ющие конечный коэффициент усиления
при разомкнутой цепи ОС.
Скорость нарастания. В связи с тем что
скорость нарастания ограничена, на час­ Частота, Гц
тотах выше некоторого граничного зна­
Рис. 4.32. Зависимость размаха выходного напря­
чения максимальный размах синусои­ жения от частоты (LF411). ( Uu= ± 15В; Tmf = 25°С,
дального сигнала начинает падать. На = 10 кОм. Кривая убывает пропорционально 1/ /
Обратная связь и операционные усилители 221

рах, которое затем усиливается схемой


усилителя. В этой схеме сопротивление
со стороны инвертирующего входа опре­
деляется резисторами /f, 11 Rv но ток сме­
щения воспринимается как входной сиг­
нал, подобный току, текущему через Rt,
а поэтому он порождает смещение выхо­
д а ^ , = L Ri-
В операционных усилителях со входа­
ми на полевых транзисторах эффектом
входного тока смещения обычно можно
пренебречь, по-другому дело обстоит с
операционны ми усилителями на бипо­
лярных транзисторах — здесь значитель­
Сопротивление нагрузки, кОм ные входные токи могут привести к серь­
Рис. 4.33. Зависимость размаха выходного напря­ езным проблемам. Рассмотрим в качестве
жения от нагрузки (LF411). 6/и = 15 В, 7окр = 25°С. п ри м ера и н верти рую щ и й у си л и тел ь,
в котором Rt = 10 кОм и R2 = 1 МОм;
Напряжение сдвига. Благодаря наличию эти значения подходят для инвертирую­
входного напряжения сдвига, при нуле­ щего каскада, в котором желательно обес­
вом напряжении на входе напряжение на печить значение Z BX, равным 10 кОм.
выходе равно £/вь|х = KuJU^. Инвертирую­ Если выбрать схему типа LM833 на бипо­
щий усилитель на основе ОУ типа 411 лярных транзисторах с низким уровнем
имеет коэффициент усиления по напря­ шумов, то ее выходное напряжение (при
жению, равный 100. При заземленном заземленном входе) может достигать вели­
входе напряжение на выходе этой схемы чины 100 х 1000 нА х 9,9 кОм или 0,99 В,
достигает значения ± 0 ,2 В (£/дв = 2 мВ, что ни в какой мере не может быть при­
максимальное значение). Можно предло­ емлемо. Для сравнения отметим, что ОУ
жить следующие пути решения проблемы: типа LF411 (со входами на полевых тран­
а) Если усиление сигнала по постоянно­ зисторах с р —и-переходом) соответству­
му току не представляет интереса, то с ющее выходное напряжение для худшего
помощью конденсатора можно уменьшить случая (при заземленном входе) состав­
коэффициент усиления для сигналов по­ ляет 0,2 мВ; для большинства практичес­
стоянного тока до единицы, как показа­ ких случаев эта величина пренебрежимо
но на рис. 4.7, также как в рассмотренном мала и уж во всяком случае несравнима с
выше усилителе для звукоснимателя (рис. ошибкой выходного напряжения, порож­
4.20). Там для передачи входного сигнала даемой напряжением сдвига (в худшем
используется емкостная связь, б) Настро­ случае для ненастроенного ОУ типа LF411
ить нуль, используя предлагаемую фирмой- она составляет 200 мВ).
изготовителем схему регулировки, в) Мож­ Для борьбы с ошибками, обусловлен­
но использовать ОУ с меньшим напряже­ ными током смещ ения, существует не­
нием сдвига Ucm. г) Н астроить нуль, сколько способов. Если вам нужен ОУ
используя схему регулировки, описанную с большим током смещения, можно сде­
в разд. 7.06 (рис. 7.5). лать сопротивление со стороны обоих вхо­
Входной ток смещения. Если в инверти­ дов одинаковым, как на рис. 4.34. В этом
рующем усилителе один из входов зазем­ случае сопротивление 9,1 кОм выбрано с
лен, то даже при условии идеальной учетом параллельного соединения резис­
настройки (т. е. f / aB = 0), на выходе уси­ торов 10 кОм и 100 кОм. Кроме того,
лителя будет присутствовать отличное от лучше всего, если сопротивление цепи
нуля выходное напряжение. Это связано обратной связи будет достаточно малым,
с тем, что входной ток см ещ ения / м тогда то к см ещ ен и я не будет давать
создает падение напряжения на резисто­ большие сдвиги; сопротивления в цепях
222 Глава 4

1 0 0 кОм полевых транзисторах можно назвать ОУ


типа LF411 на полевых транзисторах с
/ья-переходом, для которого /см = 50 пА
(типичное значение) и серию ИС типа
TLC20 на полевых М ОП-транзисторах,
для которой /см = 1 пА (типичное значе­
ние).
Входной ток сдвига. Как мы только что
убедились, лучше всего создавать такие
схемы, в которых импедансы и токи сме­
щения ОУ порождают пренебрежимо ма­
лые ошибки. Однако иногда может воз­
Рис. 4.34. Для уменьшения ошибок, обусловлен­ никнуть потребность в ОУ с большим то­
ных входным током смещения в ОУ, на биполярных ком см ещ ени я или с очень больш им
транзисторах следует использовать компенсационный эквивалентным импедансом. В этой ситу­
резистор. ации лучше всего постараться сбаланси­
ровать входные им педансы по п осто­
входов ОУ имеют типичные значения от янному току. На выходе все равно будет
1 до 100 кОм. Третий способ состоит в существовать некоторая ошибка (Кпоп ток
уменьшении до единицы коэф ф ициента /сдв Лист), обусловленная асимметрией вход­
усиления по постоянному току, как в ных токов ОУ. В общ ем ,’ / СДВ меньш е
рассмотренном выше усилителе для зву­ чем /см в 2 -2 0 раз (биполярные ОУ, как
коснимателя. правило, дают лучшее согласование, чем
Однако для большинства случаев мож­ ОУ на полевых транзисторах).
но реком ендовать использовать ОУ с В преды дущ их п араграф ах мы р а с ­
пренебрежимо малыми входными тока­ смотрели эффекты ограничений ОУ на
ми. В операционных усилителях со вхо­ примере простого инвертирующего уси­
дами на полевых транзисторах с р -п - лителя напряжения. Для него, например,
переходом или на полевых МОП-транзис- н али чи е входного то ка ОУ вы зы вает
торах входные токи, как правило, имеют появление ошибки напряжения на выходе.
порядок пикоампер (однако, здесь входной В ОУ другого назначения эффект может
ток быстро растет при увеличении темпе­ быть совсем другим, например в инверти­
ратуры —удваивается при изменении тем­ рующем ОУ конечный входной ток порож­
пературы на каждые 10 °С), во многих со­ дает на выходе линейно меняющийся сигнал
временных схемах на биполярных транзис­ (а не константу) при нулевом напряже­
торах за счет использования транзисторов н ии, прилож енном ко входу. По мере
со сверхвысоким значением коэффициен­ освоения схем ОУ вы сможете оценивать,
та р и схем компенсации смещения токи как сказываются ограничения ОУ на ра­
смещения почти также невелики и незначи­ боте данной схемы и, следовательно, смо­
тельно зависят от температуры. Такие опе­ жете выбрать операционный усилитель,
рационные усилители обладают достоин­ подходящ ий для кон кретн ого случая.
ствами ОУ на биполярных транзисторах (вы­ Вообще говоря, «самого-самого» лучше­
сокая точность, низкий уровень шума) и го ОУ на свете не существует (даже если
лишены недостатков, связанных со вход­ вас не останавливает никакая цена): у
ным током. Например, для прецизион­ операционных усилителей с самыми не­
ного биполярного ОУ с низким уровнем значительными входными токами (на по­
шума типа OP-27 / м =10 нА (типичное левых М О П -транзисторах), как прави­
значение), для недорогого биполярного ло, плохо обстоят дела с напряжением
ОУ типа LM312 /см =1,5 нА (типичное зна­ сдвига и наоборот. Хорошие разработчи­
чение), для улучшенных вариантов этого ОУ ки при выборе компонентов идут на ком­
(типа LT1012 и LM11) — /см = 30 пА (типич­ промиссы с тем, чтобы оптимизировать
ное значение). Среди недорогих ОУ на х а р а к т е р и с т и к и сх е м ы , и и зб е гаю т
Обратная связь и операционные усилители 223

по возможности элементов с ненужной 4. У изготовителя нет заказов: это также относится к


«позолотой». SSS-4404!.
Если у вас есть уже разработанная плата, но нет ника­
кой возможности достать нужную ИС, предлагаем вам
«СЕГОДНЯ ГУСТО, ЗАВТРА ПУСТО»
следующие решения. Во-первых, можно разработать плату
В своем неустанном стремлении к совершенству крис­ заново (а может быть и схему) на основе ИС, имеющихся
таллов полупроводниковая промышленность преподно­ в наличии. Эго, наверное, лучший выход из положения в
сит нам иногда неприятные сюрпризы. Представьте себе случае, когда вы запускаете в производство новую плату
такую ситуацию: вы разработали отличную новую схе­ или когда уже идет производство большой партии плат.
му, сделали образец, провели тестирование и горите Во-вторых, можно разработать маленькую «дочернюю»
желанием запустить свое детише в производство. Вы плату, которая будет подключаться к пустому разъему
оформляете заказ на необходимые компоненты, но ока­ вместо недостающей ИС и эмулировать ее работу. Хотя
зывается, что самую нужную ИС сняли с производства! этот выход из положения нельзя назвать красивым, он
А порой бывает и еше хуже: заказчик начинает жаловать­ полностью решает возникшую перед вами проблему.
ся на задержку поставки прибора, который выпускается
уже ни один год. Когда вы начинаете выяснять, что слу­ К чему приводят ограничения, свой­
чилось, оказывается, что для завершения сборки плат не ственные ОУ. Рассмотренные ограниче­
хватает единственной ИС, которая «еше не поступила» ния операционного усилителя влияют на
на участок сборки. Далее выясняется, что она не посту­ параметры компонентов почти во всех схе­
пила и на склад. В конце концов вы узнаете, что схему
сняли с производства 6 месяцев назад и в наличии нет мах. Например, резисторы обратной свя­
ни одной! зи должны быть достаточно большими,
Почему же возникают подобные казусы и что может тогда они не будут существенно нагружать
предпринять в таких случаях разработчик? По нашему выход; вместе с тем, если они будут слиш ­
мнению, существуют четыре основные причины прекра­
щения производства ИС:
ком большими, то входной ток смещения
1. Устаревание: Появились новые, лучшие ИС и нет смыс­ будет порождать ощутимые сдвиги. Кро­
ла продолжать выпуск старых. Это целиком и полнос­ ме того, высокое сопротивление в цепи
тью относится к цифровым ИС памяти (например, каж­ обратной связи повышает восприимчи­
дый год небольшие статические кристаллы ЗУПВ (ЗУ с вость схемы к влиянию внешних наводок
произвольной выборкой) и СППЗУ (стираемые програм­
мируемые постоянные ЗУ) заменяются более компакт­ и увеличивает влияние паразитной емко­
ными и быстродействующими модификациями), хотя не сти. Учитывая сказанное выше, для ОУ
избежали этой участи и линейные ИС. В подобных слу­ общего назначения обычно выбирают ре­
чаях чаще всего новая модифицированная ИС совмести­ зисторы цепей ОС с сопротивлением от
ма со старой по выводам и может быть вставлена в ста­ 2 до 100 кОм.
рый разъем.
2. ИС не пользуется спросом у покупателей: Иногда ис­ РАСПРОСТРАНЕННЫЕ ТИПЫ ОПЕРАЦИОННЫХ
чезают прекрасные ИС. Если проявить настойчивость, УСИЛИТЕЛЕЙ. Иногда случается так, что новый ОУ
то изготовитель может дать объяснение - «не было спро­ появляется как раз вовремя и удовлетворяет запросы раз­
са» или что-нибудь в этом роде. Этот случай можно ква­ работчиков и по своим характеристикам, и по стоимос­
лифицировать так: «прекращение производства для удоб­ ти, и по конструктивному оформлению. К его произ­
ства изготовителя». Мы столкнулись с серьезными труд­ водству приступает сразу несколько фирм, он завоевы­
ностями, когда фирма Harris сняла с производства вает симпатии разработчиков и получает широкую
прекрасную схему HA4925, исчез великолепный счетве­ известность. Ниже приводится перечень распространен­
ренный компаратор с очень высоким быстродействием и ных в наше время типов ОУ:
ничего не появилось ему взамен. Фирма Harris сняла 301 Первый удобный для использования ОУ; впервые ис­
также с производства схему HA2705, бесследно исчезла пользован «боковой транзистор /г-л-/7-типа»; коррек­
еще одна замечательная ИС - самый быстродейству­ ция внешняя; родоначальник - фирма National
ющий микромошный ОУ. Иногда хорошую ИС снима­ Semiconductor.
ют с производства в связи с изменениями в технологи­ 741 Промышленный стандарт в течение многих лет;
ческой линии, производящей подложки (увеличивается коррекция внутренняя; родоначальник — Fair­
размер подложки —вместо 3 дюймов устанавливают раз­ child.
мер 5 или 6 дюймов). Мы уже заметили, что фирма Harris 1458 Разработан фирмой Motorola в ответ на созда­
особенно любит прекращать производство очень хоро­ ние ОУ типа 741; два ОУ типа 741 в миниатюр­
ших и уникальных ИС; то же самое проделывали фирмы ном корпусе с двухрядным расположением выво­
Intersil и GE. дов, выводы для регулировки сдвига не пре­
3. Утеряны чертежи схем: В это трудно поверить, но дусмотрены.
иногда фирмы-изготовители теряют чертежи какого-либо 308 Прецизионный ОУ фирмы National; малая пот­
кристалла и по этой причине прекращают его производ­ ребляемая мощность, транзисторы со сверхвы­
ство. Такая история произошла с 8 -каскадным делите­ соким |3, гарантированный максимум дрейфа.
лем на КМОП-транзисторах типа SSS-4404 фирмы Solid 324 Распространенная схема счетверенных ОУ (сдвоен­
State Systems. ная схема - 358 в мини-DIP-Kopnyce с двухрядным
224 Глава 4

расположением выводов). Работает с одним источ­ цА709 фирмы Fairchild. Он получил широкое распрост­
ником питания; фирма National. ранение, но обладал некоторыми недостатками, в част­
355 Многофункциональный ОУ на биполярных и поле­ ности имел тенденцию к защелкиванию при перегрузке
вых транзисторах (356, 357 - более высокое быст­ входа и не имел зашиты против короткого замыкания на
родействие); точность не хуже, чем у биполярной выходе. Кроме того, в этом ОУ необходимо было про­
схемы, но быстродействие выше, а входной ток мень­ изводить внешнюю частотную коррекцию (с помощью
ше; фирма National. (Фирма Fairchild сделала попыт­ двух конденсаторов и резистора) и он имел очень не­
ку предпринять ответный ход и разработала ОУ типа удобную схему регулировки нуля сдвига (для которой
740, который потерпел неудачу из-за плохих характе­ также требовалось иметь три внешних компонента).
ристик. Как вам понравится входной сдвиг 0,1 В?) И, наконец, дифференциальное и синфазное входное на­
TL081 Разработан фирмой Texas Instruments в ответ на пряжение было ограничено значением 5 В.
появление серии 355; серия недорогих ОУ; интег­ Видлар перешел из фирмы Fairchild в фирму
ральная схема объединяет один, два, четыре ОУ, не­ National, где занялся разработкой ОУ типа LM301,
большая мощность; невысокий уровень шума; исполь­ который представлял собой улучшенный вариант ОУ
зуются различные типы корпусов. с зашитой против короткого замыкания и защелки­
LF411 Разработан фирмой National; улучшенная серия вания и имел увеличенный диапазон входного напря­
на биполярных и полевых транзисторах; сдвиг и сме­ жения, ограниченный значением 30 В. Однако Вид­
шение небольшие, высокое быстродействие, неболь­ лар не предусмотрел внутренней частотной коррекции,
шое искажение, большой выходной ток, невысокая так как предпочел предоставить пользователю свободу
стоимость; сдвоенная (LF 412) и микромошная в выборе средств и методов коррекции. Операцион­
(LF441/2/4) модификации. ный усилитель типа 301 можно было скорректировать
с помощью единственного конденсатора, но в связи
Подобные компромиссы принимают при с тем, что лишь один вывод был свободен, для регу­
разработке почти всех электронных схем, лировки нуля сдвига по-прежнему нужно было иметь
включая и самые простые транзисторные три внешних компонента.
схемы. Например, величина тока покоя в Тем временем фирма Fairchild приготовилась к от­
ветному ходу в связи с появлением ОУ типа 301 (те­
транзисторном усилителе огран и чена перь— это всем известный ОУ типа 741). Новый ОУ
сверху мощностью, которую может рассе­ обладал всеми преимуществами схемы типа 301, но ин­
ивать устройство, величиной входного тока женеры фирмы Fairchild попытались осуществить внут­
и питающего тока, коэффициента усиле­ реннюю частотную коррекцию, в результате высвобо­
ния по току, а снизу — величиной тока дились два вывода и упростился процесс регулировки
сдвига с помощью единственного внешнего потенцио­
утечки, коэффициента усиления по току и метра. Так как во многих практических случаях не тре­
быстродействием (которое уменьшается из- буется производить регулировку сдвига (в этом Видлар
за паразитной емкости и больших сопро­ оказался прав), то для ОУ типа 741 в нормальных усло­
тивлений). В связи с этим, как было ука­ виях эксплуатации не нужны другие компоненты, кро­
зано в гл. 2, величину коллекторного тока ме тех, которые используются в цепи ОС. Все осталь­
ное уже стало достоянием истории —ОУ типа 741 рас­
обычно выбирают в диапазоне от несколь­ пространился с быстротой цепной реакции и
ких десятков микроампер до нескольких превратился в стандартную схему.
десятков миллиампер (побольше для мощ­ В настоящее время известно немало операционных
ных схем, поменьше для «микромощных»), усилителей типа 741; они похожи по конструкции и
В следующих трех главах мы рассмотрим характеристикам, но имеют и специфические черты:
входы на полевых транзисторах, сдвоенные и строен­
такие проблемы более тщательно для того, ные схемы, схемы с улучшенными характеристиками,
чтобы вы поняли, как находят компро­ скорректированные и нескорректированные схемы и
миссные решения. т.д. Ниже приводится краткий перечень ОУ этого типа,
который можно использовать для справок и который
Упражнение 4.6. Нарисуйте схему инвертирующего характеризует человеческий инстинкт идти в ногу со
усилителя со связями по постоянному току; его ко­ временем (более полный перечень приведен в табл. 4.1).
эффициент усиления должен быть равен 100 , а /в> =
10 кОм. Предусмотрите возможность компенсации Одинарные схемы
входного тока смещения и регулировки напряжения 74IS быстродействующий (10 В/мкс)
сдвига (используйте потенциометр на 10 кОм, ко­ МС741 с низким уровнем шумов
торый можно подключить к выводам 1 и 5, а его ОР-02 прецизионный ОУ
движок - к источнику питания и.). И, наконец, из­ 4132 микромощный (35 мкА)
мените схему так, чтобы выполнялось условие z > LF13741 вход на полевых транзисторах, малый входной
10s Ом. ток
748 нескорректированный
ОПЕРАЦИОННЫЙ УСИЛИТЕЛЬ ТИПА 741 И ДРУ­ NE530 быстродействующий (25 В/мкс)
ГИЕ. В 1965 г. Видлар разработал первый, пригодный TL081 вход на полевых транзисторах, быстродействую­
для использования интегральный ОУ; это был ОУ типа щий (подобен LF351)
Обратная связь и операционные усилители 225

LF411 вход на полевых транзисторах, быстродей­ О перационны й усилитель типа 4250


ствующий был первым программируемым ОУ, и до
Счетверенные схемы сих пор его с успехом прим еняю т во
МС4741 четыре ОУ типа 741 (аналог ОУ типа 348) м ногих системах. Он был разработан
OP-11 прецизионный ОУ фирмой Union Carbide, а сейчас его про­
4136 быстродействующий (3 МГц) изводят и многие другие фирмы, причем
НА4605 быстродействующий (4 В/мкс) выпускают не только одинарные, но так­
TL084 вход на полевых транзисторах, быстродейст­
вующий (подобен LF347) же сдвоенные и строенные схемы (8022
и 8023 соответственно). Для того чтобы
Сдвоенные схемы представить себе, какие характеристики
747 сдвоенный ОУ 741 можно получить при малых питающих
ОР-04 прецизионный ОУ токах, рассмотрим в качестве примера
1458 в корпусе мини-DIP с двухрядным расположением
выводов ОУ типа 4250, который работает с током
4558 быстродействующий (15 В/мкс) 10 мкА. Для того чтобы получить такой
TL082 вход на полевых транзисторах, быстродейст­ ток, нужно с помощью внешнего резис­
вующий (подобен LF353) тора подать ток смещения, равный 1,5 мкА.
LF412 вход на полевых транзисторах, быстродейст­
вующий
Тогда f будет составлять 75 кГц, ско­
рость нарастания будет равна 0,05 В/мкс,
а входной ток смещения / мравен 3 нА.
4.13. Микромощные При малых рабочих токах способность уси­
и программируемые ОУ лителя к возбуждению последующих кас­
кадов резко уменьшается, а выходное со­
В системах, где в качестве источников противление при разомкнутой цепи ОС
питания используются батареи, широкое заметно увеличивается и в нашем случае
распространение получили так называе­ достигает 3,5 кОм. При малых рабочих
мые «программируемые ОУ». Их называ­ токах шумовое входное напряжение уве­
ют так потому, что установка значений л и ч и в а ется , а ш ум овой входной ток
всех внутренних рабочих токов выполня­ уменьшается (см. гл. 7). В технических
ется с помощью внешнего тока, подавае­ данных на ОУ типа 4250 указано, что ми­
мого на контакт, предназначенный для нимальное питающее напряжение для это­
программирования смещения. Внутрен­ го усилителя долж но составлять 1 В,
ние токи покоя увязываются с этим то­ однако в реальных схемах возможны от­
ком смещения с помощью токовых зер­ клонения от заданного минимума, осо­
кал, которым разработчики отдают пред­ бенно если усилитель должен обеспечи­
почтение перед внутренними источниками вать большой размах выходного сигнала
токов, задаваемых с помощью резисторов. или обладать способностью к возбужде­
В результате подобные усилители можно нию последующего каскада.
программировать таким образом, чтобы Операционный усилитель типа 776 (или
они работали в широком диапазоне пита­ 3476) представляет собой усовершенство­
ющих токов —обычно от нескольких мик­ ванный ОУ типа 4250. Он обладает луч­
роампер до нескольких миллиампер. Та­ шими выходными характеристиками при
кие параметры, как скорость нарастания, малых токах. Операционный усилитель
произведение коэффициента усиления на типа 346 — это хороший программируе­
ширину полосы пропускания f и вход­ мый ОУ, объединяющий в одном крис­
ной ток смещ ения, пропорциональны талле четыре усилителя. Три секции это­
программирующему току. Для систем, ис­ го ОУ программируются с помощью од­
пользующих батареи в качестве источни­ ного входа, а четвертая — с помощью
ков питания, особенно полезны програм­ другого. В некоторых программируемых
мируемые ОУ, работающие с токами по­ ОУ использованы обычные биполярные
рядка нескольких микроам пер. Более тр ан зи сто р ы , н ап р и м ер , схемы ти п а
подробно мы рассмотрим разработку мик- OP-22, OP-32, НА2725 и СА3078. К прог­
ромощных схем в гл. 14. раммируемым ОУ на КМОП-транзисторах
> 100. х |п д| -'•"и i-кооректирован при усилении
с C С
о О
S S R( *

См. прим ечани я к табл. 4.1. 61 Не должно превы ш ать предельного значения питаю щего н а п р я ж е н и я . 1,1 «/» - и з о л и р о в а н н ы й . 0 если ск о р ректирован пр и
г
l>
ж
3J
« 8.
u a
Б. 5 J 5
* s <u s
CO I
s «
3 s'
2>. sS *
Э =
з 0" s a, о и U (J
*11 H a о s с
О -г_ j5 Q u
_ >t ^
£ S «>.«>. u 2 £
a ^ ё % Э Э =
* | 1 Й 1 Ё § ь. £ ч S S = *
ft eq се § 1 1 sh cal §• § a §x ^ й ^ О я 3 I Я
5 j- X s g
f * !£• O
и —x e^
а и и § 1 1
3 о о 3 W О
l -- r i o— § CU О S & § в g
S o u CO X X X

— — оч a.
Sv & сл m СО
о 5 О
p S 2 2 £ н ol H g

.......................................................................................................................................................................................................................................................................
HHHdhHHBdlO
3i4HdXied3UW3X + I I + + + + + + + + +

t- s '
о s - U4~'
= i Й g £ Q 40 40 in о © О ^
3 “ «
о 8 s s
® ^ o ' O ' Tt" * ^ * ТГ О "Л.
Tj- 00
— fN
—00
<"М

S о о о §
О fN <N vfi X
оО g
2

OO (N О I 1^ — (N (N 1
g o o io | §
2 <N m lO го ® g
■& = 5 < L
я 2 H cfi*

^ ^^ 6 <N ' 1Л (N S 1л >л & in £, о


in о О 04 (N *“ ГЧ

I + I + I I + + + + + + ++ I + + + + + I I

s
5H 3 и

s I I + I i + + I I I + I ++
4
s
о

<D I I + I + + I I + + I + +++ +++++++
3
I §
я
X
о
s о оо \оо о о © о о ^ v-i v-i Tt оОО 9_ S_ о£ 2 2 2 ©
=J VC \ оС h « ОО r j- 00 ОО 40 CN ГМ Г--
ce ’t Г) Г | n
a.
и
с
о
0)
3
X
5 «С
П О
П 1Л О
О О S oо оо оо 'оf ^о 'л
о
— — CS П гл сл П П 't т}-
§
И * £5
о К
а. я
*
о
о
2
со
в qirsiHiroaeHodu сл сл ел со сл 0. CQ CU a. a. < CQ Cu CD О . Q_ 02 СО СО О- a CU
<s -mdH<j> Z Z Z c q Z < CQ H H H X oa H C Q < HCQCQCQ<<<


l/"> m Tf
Г- rf 4fr CM
40 со со о О
Г**1 <N
Г*1ГГп1 ^ т г ^2
О м оо
< <
Й J J J Cu X
Обратная связь и операционные усилители 227

относятся схемы типа ICL612, TLC251, 4.14. Логарифмический усилитель


MCI4573 и СА3440. Эти схемы проявля­
ют свои возможности при очень неболь­ В схеме, представленной на рис. 4.35,
шом питающем напряжении (до 1 В для логарифмическая зависимость напряж е­
TLC251), а для выдающейся схемы типа ния Ufn от тока /к используется для полу­
3440 - при токах затухания до 20 нА. чения выходного напряжения, пропорци­
Схемы типа 7612 и 251 используют видо­ онального логариф м у полож ительного
измененную схему обычного программи­ входного напряжения. Благодаря потен­
руемого ОУ; программируемый вход под­ циальному заземлению инвертирующего
ключается к U+ или U_ или остается входа резистор преобразует напряже­
неподключенным, в зависимости от это­ ние в ток. Этот ток протекает через
го ток затухания будет иметь ту или иную транзистор Тх и создает на его эмиттере
величину (10 мкА, 100 мкА или 1 мА). потенциал, который, согласно уравнению
Помимо рассмотренных выше операци­ Э берса-М олла, на величину падения н а­
онных усилителей существуют также не­ пряж ения U6 ниже потенциала земли.
программируемые усилители, предназна­ Т ранзистор Tt, которы й работает при
ченные для работы с небольшими токами фиксированном токе и обеспечивает кор­
питания и небольшими напряжениями, ректирующее напряжение, равное по ве­
следовательно, их также нужно отнести к личине падению напряжения на диоде,
микромощным. Среди них следует выде­ служит для температурной компенсации.
лить ОУ типа LM10, для которого пол­ Источник тока (роль которого может вы­
ный диапазон питающего напряж ения полнять резистор, так как потенциал точ­
составляет 1 В (н ап р и м ер ± 0,5 В). ки В отличается от потенциала земли на
Эта характеристика заслуживает особого несколько десятых долей вольта) задает
внимания, так как напряжение t/63 увели­ входной ток, служащий для установки
чивается при понижении температуры, и выходного нап ряж ени я на нуль. Вто­
при температуре —55 °С оно близко к ве­ рой операционный усилитель является не­
личине 1 В, определяющей нижний пре­ инвертирующим, его коэффициент усиле­
дел рабочего диапазона LM10. Среди дру­ ния по напряжению должен быть равен
гих микромощных ОУ заслуживают внима­ приблизительно 16, для того чтобы напря­
ния следующие схемы (и их рабочие токи): жение на выходе изменялось в отноше­
прецизионные ОУ типа ОР-20 (40 мкА), нии — 1,0 В на декаду входного тока (на­
ОР-90 (12 мкА) и LT1006 (90 мкА), не­ помним, что напряжение U63 увеличива­
дорогой ОУ типа LP324, объединяющий ется в отношении 60 мВ на декаду кол­
в одном кристалле 4 усилителя (20 мкА лекторного тока).
на каждый усилитель), ОУ на основе по­ Еще несколько деталей: если базу тран­
левых транзисторов с р-л-переходом типа зистора Г, соединить с его коллектором,
LF441/2/4 (150 мкА на каждый усилитель) то базовый ток будет создавать ошибку
и ОУ на основе полевых М ОП-транзис- (дело в том, что ток / связан точной экс­
торов типа TLC27L4 (10 мкА на каждый поненциальной зависимостью с напря­
усилитель). ж ением и ъэ). В этой схеме благодаря
потенциальному заземлению напряжение
ПОДРОБНЫЙ АНАЛИЗ РАБОТЫ на базе равно напряжению на коллекто­
НЕКОТОРЫХ СХЕМ ре, однако базовый ток ошибку не созда­
НА ОПЕРАЦИОННЫХ УСИЛИТЕЛЯХ ет. В качестве Тх и Т2 следует использо­
вать согласованную пару транзисторов
На работу представленных ниже схем су­ (лучш е всего в зять со гл асо ван н у ю
щественно влияют присущие операцион­ монолитную пару типа LM394 или МАТ-01).
ным усилителям ограничения; рассмот­ Такая схема обеспечивает точную логариф­
рим их более подробно, чем остальные мическую зависимость выходного напря­
схемы. ж ения от входного тока в пределах семи
или более декад (приблизительно от 1 нА
Таблица 4.4. М ощ ны е оп ерационны е усилители

Вход на полевых тр-рах


производитель а)

Установка нуля
Тип Корпус61 /«,«■А ± ип и т,' в Мощность Скорость 4>’ Ширина
Монолитный
рассеяния, нараста­ тип., полосы
макс. Вт ния тип., МГц пропуска­
Фирма-

МИН.

В/мкс ния, кГц

РАОЗ АР - + + PD 30 15 75 500 10 5 70
РА04А АР - + - PD 20 15 100 200 50 2 90
ОРА512 ВВ - - - 31 15 10 50 125 4 4 20
LM12 NS + - - 3 10 10 40 90 9 0,7 60
ОРА501 ВВ - - 31 10 10 40 80 1,4"' 1 16
ОРА512В вв - _ - 31 10 10 50 125 4 4 20
ОРА541В вв + + — 31 10 10 40 90 10 2 55

1468 ТР — - - 3 10 10 50 125 4 4 20
РА19А АР - + - 31 5 15 40 70 900 100 3500

ОРА511 ВВ - - - 31 5 10 30 67 1,8 1 23
РА09А АР - + + 31 4 10 40 78 400 75 2500
SG1173 SG + - - 220 3,5 5 25 20 0,8 1
LM675 NS + - - 220 3 8 30 40 8 5,5
LH0101 NS - + - 3 2 5 20 62 10 5 300
3572 ВВ - + - 31 2 15 40 60 3 0,5 16

3573 ВВ - - - 31 2 10 34 45 1,5 1 23
LH0021 NS - - - 3 1 5 15 23 3 1 20
MSK792 КЕ - - + 3 1 5 22 5 2 1 11
1463 ТР - + + 3 1 15 40 40 165 17

1461 ТР - + + PD 0,75 15 40 1200 “' 1000 “"

LH0061 NS - + 3 0,5 - 15 20 70 - 1000


WA01A АР - - + 31 0,4 12 16 10 4000 1000 150000
CLC203 CL PD 0,2 9 20 6000 5000 60000
“ “ “
1460 ТР - + 3 0,15 15 40 2,5 300ш> 1000 “" 1500

3554В ВВ - + + 31 0,15 5 18 5 1200 100 19000


НА2542 НА + - + D 0,1 5 15 1,6 375 120 4700"'

LH4101 NS - + + D 0,1 —
15 4 250 28 —

LH4104 NS - + + С 0,1 15 2,5 40 18 -

1480 ТР - + + 3 0,08 15 150 100 20 120


1481 ТР - + + 3 0,08 15 75 15 25 4,5 50
СА3450 RC + - + D 0,08 - 7 1,5 420 190 10000
3583 ВВ - + + 31 0,08 40 140 10 30 5 60
ОР-50Е РМ + - + D 0,07 5 18 0,5 3 25 20

3580 ВВ - + + 31 0,06 15 35 4,5 15 5 100


АМР-01Е: р м + — + D 0,05 5 15 0,5 4,5 1 20

3581 ВВ - + + 31 0,03 32 75 4,5 20 5 60


3582/4 вв — + + 31 0,02 70 150 4,5 20/150 7 30/135

"> См. примечания к табл. 4.1, б» 3 - ТО-3; 220-ТО-220; PD-DIP - корпус для мощного варианта схемы:
D - DIP-корпус; I - изолированный корпус; С - металлический корпус; ограничения по току; Т - температурно«
Продолжение табл. 4.4.


и / ит /нк, /пред’, Q
=, кз; Примечания
макс. макс. тип. А" feS
нА оS
= £
s g.
мВ мкВ/°С мкВ/Вт 25 °С Т В А мкс % lT
S- о
3 30 20*' 0,05 50 7 30 2 0,1 т + Могучая «рабочая лошадка»
5 30 ЮФ1 0,02 - 7,5 15 2,5 0,1 в - Высоковольтный
3 40 20 "” 20 15 7 15 2 0,1 в - Аналог РА-12
7 50 50 300 150 8 10 13 +
5 40 35"” 20 15 7 10 в - Аналог РА-51
6 65 20"” 30 - 6 10 2 0,1 в -
2 30 60 0,05 40 4,5 5 2 0,1 в - Монолитный на полевом
тр-ре с р-и-переходом
6 65 20 "" 30 - 6 10 2 0,1 в -
0,5 10 20 "” 0,05 50 5 4 1,2 0,01 в + Выход на тр-ре с вертикаль­
ной МОП-структурой,
широкополосный, преци­
зионный
10 65 20 "” 40 30 8 5 2 0,1 в - Аналог РА-01
0,5 10 0,02 20 8 2 0,3 0,1 4,5 + Быстродействующий
4 30 - 500 300 6 2 3,5 +
10 25"" 25"» 2 мкА 10 3,5 4 +
3 10"» 150"” 0,3 300 5 2 2 0,01 в - Аналог РА-02
2 40 20 "» 0,1 100 5 2 в + Аналог РА-07; подобен 3571
для 1 А
10 65 40 30 5 2 в - Аналог РА-73
3 25 15 100 35 4 1 4 0,1 в - Внешняя коррекция
0,1 2 - 100 100 3,5 1 в -
5 20"” 0,2 200 8 1 0,25 0,1 в - Выход на тр-ре с вертикаль­
ной МОП-структурой
5 50 0,1 100 9 0,5 0,4 0,1 в - Выход на тр-ре с вертикаль­
ной МОП-структурой;
внешняя коррекция
4 5®
, 5®’ 100 35 5 0,5 0,8 0,1 в - Внешняя коррекция
5 25 10"” 10 мкА 5 0,4 0,02 0,1
1,5 15 20 мкА 20 мкА 4 0,2 15 не 0,2 в - С быстрым установлением,
широкополосный, преци­
зионный
5 50 10 мкА 6 0,15 1 0,1 0,25 - Выход на тр-ре с вертикаль­
ной МОП-структурой,
внешняя коррекция
1 15 - 0,05 50 5 0,1 0,2 0,01 0,15 - Быстродействующий
10"' 20 35 мкА 0,1 0,1 Подкорректированный
(К >2)
15 25*' - 0,5 500 - - 0,3 0,1 - -
5 20 "” - 0,6 25 5 0,1 0,5 0,01 - - У LH4105 </„ < 0,5 мВ
3 100 - 0,2 200 10 0,08 1,5 0,01 0,13 - Высоковольтный
3 25 - 0,1 100 5 0,08 7,5 0,1 0,13 -
15 - - 350 - 2 0,08 35 не 0,1 - Видеоусилитель
3 23 - 0,02 20 10 0,08 12 0,1 0,1 + Высоковольтный
0,03 0,3 — 5 7С| 2 0,03 30 0,01 0,06 + Низкий уровень шума, пре­
цизионный
10 30 - 0,05 50 5 0,06 12 0,1 0,1 +
0,05 0,3 — 3 10е1 2 0,03 15 0,01 0,06 + Низкий уровень шума, пре­
цизионный
3 25 - 0,02 20 5 0,03 12 0,1 0,05 +
3 25 - 0,02 20 5 0,02 12 0,1 0,03 + Высоковольтный

ограничение; Е - внешняя регулировка, мин, или макс, "” типичное значение, ш| нескорректированный.
230 Глава 4

Рис. 4.35. Логарифмический преобразователь. Тран­


зисторы Г, и Т, образуют монолитную согласован­
ную пару.

до 10 мА) при условии что транзисторы лителя. Обе эти проблемы можно уст­
имеют небольшие токи утечки, а ОУ — ранить, если транзистор включить как
малый входной ток смещения. Операци­ диод, т. е. соединить его базу с коллек­
онный усилитель типа 741, в котором ток тором.
смещения равен 80 нА, для этой схемы Температурная компенсация усиления.
не подходит; для получения линейной ха­ Транзистор Т2 компенсирует изменения
рактеристики в пределах семи декад обыч­ падения напряжения 11Ъэ в транзисторе Tt,
но используют ОУ с полевыми транзис­ связанны е с изм енением температуры
торами на входах, например ОУ типа 411. окружающей среды, однако изменение
Кроме того, для получения хорошей ха­ наклона графика зависимости напряжения
рактеристики при малых входных токах Ufu от тока / не компенсируется. В разд.
входной ОУ следует точно настроить на 2.10 мы установили, что зависимость «60
нуль сдвига. Дело в том, что при токах, мВ/декада» пропорциональна абсолютной
близких к нижнему предельному значе­ температуре. Выходное напряжение в на­
нию, напряжение t / x может составлять шей схеме иллю стрируется граф иком ,
всего несколько десятков микровольт. представленным на рис. 4.36. Идеальная
Лучше всего применить в этой схеме ис­ компенсация обеспечивается в том случае,
точник тока на входе и вообще не исполь­ когда входной ток равен /0 — коллекторно­
зовать резистор Rr му току транзистора Т2. Изменение тем­
Конденсатор С, служит для частотной пературы на 30 °С вызывает изменение
стабилизации при включении обратной угла наклона графика на 10% и сопро­
связи, так как усиление по напряжению вождается появлением соответствующей
в контуре ОС определяет транзистор Тх ошибки в выходном напряжении. Един­
Диод Д предотвращает пробой и разру­ ственный выход из положения состоит в
шение перехода база-эмиттер транзисто­ том, чтобы заменить резистор R2 после­
ра Г, в случае появления отрицательного довательным соединением обычного ре­
напряжения на входе; это необходимо, так зистора и резистора с положительным
как транзистор Тх не обеспечивает цепь тем пературны м коэф ф и ци ен том . Зная
обратной связи при положительном вы­ температурный коэффициент резистора
ходном напряжении операционного уси­ (например, температурный коэффициент.
Обратная связь и операционные усилители 231

U ВХ w \ Ы Т
а ----------------------- U
*■' ВЬ1Х

Рис. 4.36.

резистора ти п а T G 1 /8 ф и рм ы Texas цели можно использовать диод и кон ­


Instruments равен + 0,67% /°С), можно денсатор (рис. 4.37). Наибольшее значе­
определить сопротивление обычного ре­ ние входного колебания заряжает конден­
зистора, который при последовательном сатор, который сохраняет заряд до тех пор,
соединении обеспечит идеальную ком ­ пока диод смещен в обратном направ­
пенсацию. Например, к только что упо­ лении.
мянутому резистору типа TG 1/8 с сопро­ Этот метод имеет серьезные недостат­
тивлением 2,7 кОм следует последователь­ ки. Входной импеданс является перемен­
но подключить резистор с сопротивлением ной величиной, и в момент пиков вход­
2,4 кОм. ного колебания он очень мал. Кроме того,
Промышленность выпускает несколько из-за падения напряжения на диоде эта
интегральных логарифмических преобра­ схема нечувствительна к пикам, меньшим
зователей. Они обладают очень хорошими 0,6 В, а для больших пиков она дает ошиб­
характеристиками и имеют внутреннюю ку (на величину падения напряжения на
температурную ко м п ен сац и ю . С реди диоде). Более того, падение напряжения
фирм-изготовителей назовем Analog D e­ на диоде зависит от температуры и проте­
vices, Burr-Brown, Philbrick, Intersil и N a­ кающего через диод тока, а это значит,
tional Semiconductor. что погрешность схемы зависит от темпе­
ратуры окружающей среды и скорости и з­
Упражнение 4.7. Дополните схему логарифми­
ческого преобразователя следующими элементами: менения выходного напряжения; напом­
а) внешним источником входного тока; б) цепью ним, что I = C(dU/dt). Использование на
температурной компенсации с использованием ре­ входе эмиттерного повторителя позволя­
зистора типа TG1/8 (с температурным коэффици­ ет избавиться только от первого из пере­
ентом, равным + 0,67%/“С). Подберите компонен­
ты таким образом, чтобы относительное изменение
численных недостатков.
выходного напряжения составляло Utm = + 1 В на На рис. 4.38 показана улучшенная схе­
декаду. Предусмотрите возможность управления ма, в которой и спользуется обратная
выходным сдвигом, которая позволяла бы при лю­ связь. Если напряжение обратной связи
бом входном токе устанавливать нулевое значение снимать с конденсатора, то падение н а­
для £/ы< (путем смешения инвертирующего усилите­
ля, а не за счет регулировки тока /„).
пряжения на диоде не создаст никаких
проблем. На рис. 4.39 представлен воз­
4.15. Активный пиковый детектор можный вид выходного колебания.
Ограничения, присущие операционно­
Во многих практических случаях требует­ му усилителю, сказываются на этой схеме
ся определить пиковое значение входного двояко: а) конечная скорость нарастания
колебания. В простейшем случае для этой ОУ порождает проблемы даже для отно-
232 Глава 4

ростью утечки заряда и скоростью нарас­


тания выходного напряжения.
Пусть, например, в этой схеме исполь­
зован ОУ типа 741 (такой выбор нельзя
назвать удачным и з-за больш ого тока
смеш ения, который имеет этот усили­
тель), тогда конденсатор емкостью 1 мкФ
будет иметь утечку заряда dU /dt = /см/С =
= 0,08 В/с, а возможная скорость измене­
ния напряжения на выходе составит всего
dU/dt = / ВЫХ/С = 0,02 В/мкс. Эта макси­
сительно медленно меняющихся входных мальная скорость значительно меньше,
сигналов. Для большей ясности отметим, чем скорость нарастания ОУ, равным
что выход ОУ попадает в отрицательное 0,5 В /м к с , т а к к а к о н а о г р а н и ч е н а
насыщение, когда вход усилителя имеет максимальным выходным током, равным
менее положительный потенциал, чем вы­ 20 мА, который заряжает конденсатор
ход (постарайтесь изобразить напряжение емкостью 1 м кф . Если уменьшить ем ­
ОУ в виде графика; не забудьте о прямом кость, то можно получить большую ско­
падении напряжения на диоде). Итак, рость нарастания на выходе за счет боль­
выход ОУ должен стремиться к величине шой утечки заряда. С практической точ­
выходного напряжения (плюс падение ки зрения в качестве входного усилителя
напряжения на диоде) тогда, когда вход­ мощности и выходного повторителя го­
ной сигнал начинает превышать уровень раздо лучше выбрать ОУ типа LF355 со
выходного. При скорости нарастания S входами на полевых транзисторах (ток сме­
это можно грубо описать выражением щ ени я равен 30 пА, выходной то к —
(Uem - U_)/S, где U_ - отрицательное на­ 20 мА) и взять конденсатор, имеющий
пряж ение питани я, (1/вых — выходное емкость С = 0,01 мкФ. При таком сочета­
напряжение; б) входной ток смещения нии компонентов утечка будет составлять
вызывает медленный разряд конденсато­ всего 0,006 В/с, а скорость нарастания для
ра (или его заряд в зависимости от знака схемы в целом будет равна 2 В/мкс. Еще
смещения). Это явление называют иног­ лучше характеристики получатся, если
да «утечкой заряда», и для того чтобы из­ взять ОУ на полевых транзисторах типа
бежать его, лучше всего использовать ОУ ОРА111 или AD549, для которых входной
с очень малым током смещения. По той ток не превышает 1 пА. Характеристики
же причине и диод следует подбирать та­ схемы может ухудшить утечка самого кон­
ким образом, чтобы он имел малую утеч­ денсатора, даже если используются очень
ку (например, можно использовать диод высококачественные конденсаторы, на­
типа FJT1100, обратный ток которого пример, полистироловые или поликарбо-
не превыш ает 1 пА при н ап ряж ени и натные (см. разд. 7.05).
20 В, или «полевой диод» типа PAD-1 Схемные средства устранения влияния
фирмы Siliconix или типа ID 101 фирмы утечки диода. Очень часто разумно по­
Intersil); выходные каскады ОУ должны строенная схема помогает разрешить про­
иметь больший импеданс (лучше всего блемы, создаваемые отклонениями схем­
использовать ОУ на полевых транзисторах ных компонентов от идеальных. Такой
или ОУ со входами на полевых транзис­ способ преодоления трудностей, с одной
торах; в) максимальный выходной ток стороны, доставляет удовольствие раз­
ОУ ограничивает скорость изменения на­ работчику, а с другой — дает э к о н о ­
пряжения на конденсаторе, иначе гово­ м и чески й эф ф ект. Р ассм отри м здесь
ря, скорость, с которой сигнал на выходе некоторые примеры (этим вопросам по­
отслеживает изменение сигнала на входе. священа гл. 7).
Поэтому при выборе конденсатора при­ Допустим, нам нужен высококачествен­
ходится идти на компромисс между ско­ ны й п и к овы й детектор, обладаю щ ий
Обратная связь и операционные усилители 233

шую часть времени находятся в режиме


47 КОМ отрицательного насы щ ения и выходят
из него, только при условии, что уровень
си гн ал а н а входе п ревы си л п и к овое
напряж ение, сохраненное конденсато­
ром. Однако, судя по схеме активного
выпрямителя (разд. 4.10), выход из н а­
сыщения может занять существенное вре­
мя (например, для схемы типа LF411 он
составляет 1—2 мкс). В связи с этим мо­
жет получиться так, что круг ваших ин­
тересов будет ограничен только операци­
онными усилителями с высокой скоростью
нарастания.
максимальным отнош ением скорости Сброс пикового детектора. На практике
нарастания на выходе схемы к спаду вер­ обычно желательно тем или иным спосо­
шины импульса. Если в схеме пикового бом производить сброс выхода пикового
детектора использованы ОУ с самыми ма­ детектора. О дин из способов состоит
лыми входными токами (в некоторых ОУ в подключении к выходу схемы резисто­
ток смещения равен всего 0,01 пА), то ра, благодаря которому напряжение на вы­
спад вершины импульса будет определять­ ходе затухает с постоянной времени RC.
ся утечкой диода, так как токи утечки са­ При этом схема «запоминает» только пос­
мых хороших диодов (см. табл. 1. 1) пре­ ледние пиковые значения. Более совер­
вышают столь малые токи смещения ОУ. шенный способ состоит в подключении к
На рис. 4.40 показана разумно состав­ конденсатору С транзисторного переклю­
ленная схема. Как и прежде, напряже­ чателя; выход схемы сбрасывается в нуль
ние на конденсаторе повторяет входное за счет п оданн ого н а базу короткого
колебание на интервале его увеличения: импульса. К ак показано на рис. 4.38,
интегральная схема ИС, заряжает конден­ к конденсатору можно подключить «-ка­
сатор через оба диода, а выходное напря­ нальный полевой М ОП-транзистор; в тот
жение схемы ИС2 не оказывает на этот момент, когда потенциал затвора становит­
процесс никакого влияния. Когда значе­ ся положительным, конденсатор сбрасыва­
ние входного напряж ения становится ется в нуль.
меньше пикового, ИС, переходит в ре­
жим насыщения, а И С 2 поддерживает н а­ 4.16. Выборка-запоминание
пряжение в точке X равным напряжению
на конденсаторе и полностью устраняет Схеме детекторного повторителя близка
утечку в диоде Д 2. Небольшой ток утечки схема выборки-запоминания. Эти схемы
диода Д протекает через резистор Л, ш ироко расп ростран ен ы в циф ровы х
и создает на нем пренебрежимо малое па­ системах, где требуется осуществлять пре­
дение напряжения. Безусловно, оба ОУ образование аналоговых напряжений в
должны иметь очень малые токи смеще­ цифровые значения, с которыми работа­
ния. Неплохо остановить свой выбор на ет компьютер. Чаще всего производится
ОУ типа ОРА111В, в котором высокая захват и ф и к с а ц и я н ап р яж е н и я (н а ­
точность (UCM = 250 мкВ, максимальное пряжений), само же преобразование вы ­
значение) сочетается с небольшим вход­ полняется в дальнейш ем. О сновны м и
ным током (1 пА, максимальное значе­ компонентами схемы выборки-запомина­
ние). Эта схема является аналогом схемы ния являются операционный усилитель и
защиты, используемой для высокоомных переключатель на полевом транзисторе;
или малосигнальных измерений. суть схемы поясняет рис. 4.41; а). ИС, —
Отметим, что входные ОУ в рассмот­ это повторитель, предназначенны й для
ренных схемах пиковых детекторов боль­ формирования низкоомного отображения
234 Глава 4

ИС - 15 ИС,
Вход . ^ в х о д на

□ Г ^
I
Г -
С
■Вход

15 _ п _ Хранение

Напряжение
на конденса­
торе

30 кОм

Вход ■ -О-
3
О Переключат,
на ПТ
■Выход

3 0 0 кОм

Вход схемы 8 06 Рис. 4.41. Схема выборки-запомина-


выборка - ■-О- ___ 0,001 мкФ
хранение
ния, а - стандартная конфигурация,
- р (внешний
элемент)
форма сигнала утрирована; б - интег­
ральная схема LF398 — схема выбор­
ки-запоминания на одном кристалле.

входного сигнала. Транзистор Т{ пропус­ теле вызывает спад напряжения на кон­


кает сигнал во время «выборки» и блоки­ денсаторе С во время зап ом и н ан ия в
рует его прохождение в момент «запо­ соответствии с вы раж ен и ем d U /d t =
минания». Конденсатор С запоминает = / ^ ЧКИ/С. В связи с этим для миними­
сигнал таким, как он был в момент вы­ зации спада конденсатор С должен быть
ключения транзистора Tv И С 2— это по­ большим. Однако сопротивление транзи­
вторитель с большим входным импедан­ стора Тх во включенном состоянии обра­
сом (со входами на полевых транзисторах), зует в сочетании с кон ден сатором С
благодаря чему м и н им изи руется ток фильтр низких частот. В связи с этим
через конденсатор во время «запомина­ конденсатор С должен быть небольшим,
ния». Величина С выбирается исходя из тогда высокочастотные сигналы не будут
компромисса: ток утечки в Тх и повтори­ искажаться. ИС, должна обеспечивать
Обратная связь и операционные усилители 235

ток заряда конденсатора С - / = CdU/dt ДИЭЛЕКТРИЧЕСКОЕ ПОГЛОЩЕНИЕ


и должна обладать достаточной скоростью
нарастания для повторения входного сиг­ Конденсаторам присущи недостатки. Прежде всего
нала. На практике скорость нарастания это - утечка (параллельное сопротивление), последова­
тельное сопротивление и индуктивность, ненулевой
всей схемы обычно ограничивается вы ­ температурный коэффициент. Реже вспоминают про ди­
ходным током ИС, и сопротивлением электрическое поглощение —явление, которое очень ярко
транзистора Jj во включенном состоянии. проявляет себя в следующей ситуации: возьмем боль­
шой танталовый конденсатор, заряженный до напря­
Упражнение 4.8. Допустим, что схема ИС, дает жения 10 В и быстро его разрядим, подключив
выходной ток, равный 10 мА; С = 0,01 мкФ. При к его выводам резистор 100 Ом. Удалим резистор и
какой максимальной скорости нарастания сигнала понаблюдаем за напряжением на конденсаторе с помо­
на входе схема может в точности повторять входной щью вольтметра с большим импедансом. Представьте
сигнал? Чему равна выходная ошибка, если сопро­ себе, что напряжение на конденсаторе будет восста­
тивление транзистора Г, во включенном состоянии навливаться и за несколько секунд достигнет величины
составляет 50 Ом, а входной сигнал нарастает со примерно 1 В.
скоростью 0,1 В/мкс? Чему равна скорость спада в Явление диэлектрического поглощения (диэлект­
состоянии «запоминания», если ток утечки транзи­ рической памяти) недостаточно изучено, полагают,
стора 7j и ИС2 составляет 1 нА? что оно связано с остаточной поляризацией диэлектри­
Как в схеме выборки-запоминания, так ческого вещества; особенно плохим в этом отношении
является такой диэлектрик, как слюда с присущей
и в схеме пикового детектора ОУ управ­ ей слоистой структурой. С точки зрения схемы, доба­
ляет емкостной нагрузкой. При разра­ вочная поляризация проявляет себя так, как если бы к
ботке подобных схем помните, что для них выводам конденсатора подключили ряд последова­
нужен ОУ, обладающий стабильностью тельных ДС-цепочек (рис. 4.42, а) с постоянными
при единичном коэффициенте усиления времени в диапазоне от = 100 мкс до нескольких
секунд. По свойству диэлектрического поглощения ди­
и емкостной нагрузке. Н екоторы е ОУ электрики существенно отличаются друг от друга; гра­
(например типа LF355/6) предназначены фики на рис. 4.42, б отражают зависимость сохраняе­
специально для работы непосредственно мого напряжения от времени для нескольких высоко­
на больш ую ем к о стн у ю н агр у зк у качественных диэлектриков после воздействия на них
сигнала в виде ступени с амплитудой 10 В и длитель­
(0,01 мкФ). Другие практические приемы ностью 100 мкс.
мы обсудим в разд. 7.07 (см. рис. 7.17). Диэлектрическое поглощение может порождать
Разрабатывать схемы выборки-запоми- серьезные ошибки в интеграторах и других аналого­
нания нет необходимости, т.к. промыш­ вых схемах, которые рассчитаны на идеальные харак-
ленность выпускает прекрасные ИС, ко­
торые включают в себя все необходимые
элементы за исключением конденсатора.
Широко используется схема типа LF398
фирмы National; в недорогом корпусе с 8
выводами заключен переключатель на по­
левом транзисторе и два ОУ. Рис. 4.41, б
показывает, как воспользоваться этой схе­
мой. Обратите внимание, что петля об­
ратной связи охватывает оба ОУ. Су­
ществует множество интегральных схем
выборки-запоминания, обладающих ха­
рактеристиками лучшими, чем у LF398;
наприм ер, схема ти п а AD585 ф ирм ы
Analog Devices включает в себя внутрен­
ний конденсатор и гарантирует макси­
мальное время захвата 3 мкс при точнос­
Время после воздействия импульса, с
ти 0,01% для сигнала в виде ступени ве­ б
личиной 10 В. Рис. 4.42. Диэлектрическое поглощение в кондесато-
рах. а — модель, б - зафиксированные изменения для
некоторых диэлектриков (по фирменной документа­
ции Hybrid Systems HS9716).
236 Глава 4

теристики конденсаторов. Если, например, к схеме ной п оявления небольш их искаж ений
выборки-запоминания подключена схема аналого-циф­ (выбросов) в выходном сигнале, которые
рового преобразования, то диэлектрическое поглоще­
ние может привести к ужасающим результатам. В по­ возникают в тот момент, когда входное
добных случаях конденсаторы нужно выбирать как мож­ напряжение в процессе нарастания дос­
но тщательней (с этой точки зрения наилучшим тигает значения напряжения фиксации
диэлектриком является тефлон), лишний раз подвер­ (рис. 4.44).
гая свой выбор сомнению. В особых случаях можно
прибегнуть и к компенсационным схемам, в которых
влияние диэлектрического поглощения конденсатора 4.18. Схема выделения модуля
электрически устраняют с помощью тщательно настро­ абсолютного значения сигнала
енных /?С-цепочек. Такой подход используется в не­
которых высококачественных модулях выборки-запо­ Схема, показанная на рис. 4.45, позво­
минания, производимых фирмой Hybrid Systems. ляет получать на выходе положительное
напряжение, равное абсолютной величи­
4.17. Активный ограничитель не входного сигнала; она представляет
собой двухполупериодный выпрямитель.
На рис. 4.43 показан активный ограничи­ Как обычно, операционные усилители с
тель, который представляет собой один из цепью обратной связи устраняют влияние
вариантов схемы, рассмотренной в гл. 1. падений напряжения на диодах, характер­
Для показанных на схеме величин компо­ ное для пассивного выпрямителя.
нентов напряжение на входе, отвечающее Упражнение 4.9. Объясните, как работает схема, по­
условию Um < + 10 В, приводит выход казанная на рис. 4.45. Подсказка: сначала на вход
ОУ в состояние насыщения, и выполняет­ нужно подать положительное напряжение и посмот­
ся условие Uв ы х = Uвх. Когда напряжение реть, что будет, а затем —отрицательное напряжение.
__
и превышает 10 В, диод замыкает цепь На рис. 4.46 показана еще одна схема
обратной связи и фиксирует на выходе определения абсолютного значения. Она
значение 10 В. В этой схеме конечная представляет собой сочетание вспомога­
скорость нарастания ОУ является причи- тельного инвертора (ИС,) и активного ог­
раничителя (И С 2). При положительных
+15 В уровнях входного напряжения ограничи­
тель не влияет на работу схемы, его выхол
находится в насыщении, и в результате
ИС,, работает как инвертор с единичным
коэффициентом усиления. Таким обра­
зом, выходное напряжение по абсолютно-
R

Рис. 4.43.

Рис. 4.45. Активный двухполупериодный


Рис. 4.44. выпрямитель.
Обратная связь и операционные усилители 237

ограничение f / bix <sC Um. На рис. 4.47 пока­


10 к0мг 1% зана такая схема. Входной ток U J R проте­
кает через конденсатор С. В связи с тем,
что инвертирующий вход имеет потенци­
альное заземление, выходное напряжение
о п р е д е л я е т с я сл ед у ю щ и м о б р азо м :
U J K = - C (d U BJ d t ) и ли и вт =

= f U A +const. Безусловно, входным


RN вГ
сигналом может быть и ток, в этом случае
резистор R не нужен. Представленной здесь
схеме присущ один недостаток, связанный
с тем, что выходное напряжение имеет тен­
денцию к дрейфу, обусловленному сдвига­
ми ОУ и током смещения (обратной связи
по постоянному току, которая нарушает
правило 3 из разд. 4.08, здесь нет). Это
Рис. 4.46. нежелательное явление можно ослабить,
му значению равно входному. При отри­ если использовать ОУ на полевых транзис­
цательных уровнях входного напряжения торах, отрегулировать входное напряжение
ограничитель поддерживает в точке X сдвига ОУ и выбрать большие величины для
напряжение, равное потенциалу земли, и Л и С. Кроме того, на практике часто при­
при этом ИС, работает как инвертор бегают к периодическому сбросу в нуль ин­
с единичным коэффициентом усиления. тегратора с помощью подключенного к кон­
Таким образом, выходное напряжение денсатору переключателя (обычно на поле­
равно абсолютной величине входного н а­ вом транзисторе), поэтому играет роль
пряжения. Если И С 2 запиты вается от только кратковременный дрейф. В каче­
единственного источника положительно­ стве примера рассмотрим интегратор, в
го напряжения, то отпадают проблемы, котором использован ОУ на полевых тран­
связанные с конечной скоростью нарас­ зисторах типа LF411 (ток смещения состав­
тания, так как напряжение на выходе ог­ ляет 25 пА), настроенный на нуль (напря­
раничителя изменяется лишь в пределах жение сдвига составляет не более 0,2 мВ).
падения напряжения на диоде. Отметим, Резистор и конденсатор выбраны так: R =
что от резистора Л3 высокая точность не = 10 МОм и С = 10 мкФ; для такой схемы
требуется. дрейф не превышает 0,005 В за 1000 с.
Если остаточный дрейф по-прежнему
4.19. Интеграторы слишком велик для конкретного случая
использования интегратора, то к конден­
На основе операционны х усилителей сатору С следует подключить большой
можно строить почти идеальные интегра­ резистор R1, который обеспечит стабиль­
торы, на которые не распространяется ное смещение за счет обратной связи по
постоянному току. Такое подключение
С
приведет к ослаблению интегрирующих
свойств на очень н изкой частоте: / <
< 1/R^C. На рис. 4.48 показаны интегра­
торы, в которых использованы переклю­
чатели для сброса на полевых транзисто­
рах и резистор стабилизации смещения.
В схемах такого типа может потребовать­
ся резистор обратной связи с очень боль­
шим сопротивлением. На рис. 4.49 пока-
238 Глава 4

R2
100 МОм

Рис. 4.48. Интеграторы на основе ОУ с переключателями для сброса.

зан прием, с помощью которого большое транзистор находится в состоянии ВЫКЛ.


эффективное значение сопротивления Эта ошибка может быть преобладающей
обратной связи создается за счет резисто­ в интеграторе в случае использования
ров с относительно небольшими сопро­ операционного усилителя с очень малым
тивлениями. Представленная цепь обрат­ входным током и конденсатора с неболь­
ной связи работает как один резистор с шой утечкой. Например, превосходный
сопротивлением 10 МОм в стандартной «электрометрический» ОУ типа AD549
схеме инвертирующего усилителя с коэф­ со входами на полевых транзисторах об­
фициентом усиления по напряж ению , ладает входным током величиной 0,06 пА
равным — 100. Достоинство этой схемы (м а к с и м у м ), а в ы с о к о к а ч е с т в е н н ы й
состоит в том, что она позволяет исполь­ м етал л и зи рован н ы й теф л он овы й или
зовать удобные сопротивления резисторов полистироловый конденсатор емкостью
и не создает опасности из-за влияния па­ 0,01 мкФ обладает сопротивлением утеч­
разитной емкости, которую всегда нужно ки величиной 107 МОм (минимум). При
учитывать при работе с большими резис­ таких условиях интегратор, без учета схе­
торами. Отметим, что в схеме идеально­ мы сброса, поддерживает на суммирую­
го преобразователя тока в напряжение щ ем переходе прям ой ток величиной
(разд. 4.09) описанный выше прием мо­ ниже 1 пА (для худшего случая, когда вы­
жет привести к увеличению эффективно­ ходной сигнал составляет 10 В двойной
го входного напряжения сдвига. Напри­ амплитуды), что соответствует величине
мер, если схема, показанная на рис. 4.49, и зм ен ен и я d U /d t на вы ходе, р авн ой
подключена к источнику с большим им­
педансом (скажем, на вход поступает ток 100 кОм 100 кОм
от фотодиода и входной резистор опущен),
то выходной сдвиг будет в 100 раз превы­
шать Ucm. Если в той же схеме есть рези­
стор обратной связи величиной 10 МОм,
то выходное напряжение равно Ucm (сдви­
гом, обусловленны м входным током ,
можно пренебречь).
Схемная компенсация утечки полевого
транзистора. Рассмотрим интегратор с
переключателем на полевом транзисторе
(рис. 4.48). Ток утечки перехода с т о к -
исток протекает через суммирующий пе­
реход даже в том случае, когда полевой Рис. 4.49.
Обратная связь и операционные усилители 239

4.20. Дифференциаторы
Сброс
+15
Ю кО м 1N914 Дифференциаторы подобны интеграто­
рам, в них только меняются местами ре­
зистор R и конденсатор С (рис. 4.51).
Инвертирующий вход ОУ заземлен, по­
этому изменение входного напряжения с
некоторой скоростью вызывает появление
тока / = С (dU ^/dt), а следовательно, и
выходного н апряж ения ( = — RC х
х (dUm/d t). Дифференциаторы имеют ста­
билизированное смещение, неприятнос­
ти создают обычно шумы и нестабильность
работы на высоких частотах, что связано
с большим усилением ОУ и внутренними
AD549
фазовыми сдвигами. В связи с этим сле­
(1СЛ~ 0,06 пА, макс.) дует ослаблять дифференцирующие свой­
ства схемы на некоторой максимальной
Рис. 4.50. частоте. Обычно для этого используют
метод, которы й п оказан на рис. 4.52.
0,01 мВ/c. Для сравнения посмотрите, Компоненты /?, и С2, с помощью кото­
чему равна утечка такого популярного рых создается спад, выбирают с учетом
МОП-транзистора, как, например, 2N4351 уровня шума и ширины полосы пропус­
(в режиме обогащения). При Ukct^ tok = кания ОУ. Н а высоких частотах благода­
10 В и Uз а т в -и с т = 0 В максимальный ток ря резистору Л, и конденсатору С2 схема
утечки равен 10 нА. И ны ми словами, начинает работать как интегратор.
утечка полевого транзистора в 10000 раз
больше, чем утечка всех остальных эле­
ментов, взятых вместе.
На рис. 4.50 показано интересное схем­
ное решение. Оба и-канальных М О П -
транзистора переключаются вместе, одна­
ко транзистор Тх переключается тогда,
когда напряжение на затворе равно нулю
и +15 В, при этом в состоянии ВЫКЛ
(напряжение на затворе равно нулю) утеч­
ка затвора (а такж е утечка перехода
с т о к -и сто к ) полностью исклю чается.
В состоянии BKJI конденсатор, как и
50 пФ
прежде, разряжается, но при удвоенном
R . В состоянии ВЫКЛ небольшой ток
J' 1 п
утечки транзистора Т2 через резистор п2
стекает на землю, создавая пренебрежи­
мо малое падение напряжения. Через сум­
мирующий переход ток утечки не проте­
кает, так как к истоку, стоку и подложке
транзистора Т приложено одно и то же
напряжение. Сравните эту схему со схе­
мой пикового детектора с нулевой утеч­
кой, приведенной на рис. 4.40.

Рис. 4.52.
240 Глава 4

РАБОТА ОПЕРАЦИОННОГО УСИЛИТЕЛЯ


С ОДНИМ ИСТОЧНИКОМ ПИТАНИЯ

Для работы операционного усилителя не


требуется иметь стабилизированные источ­
ники питания + 15 В. Можно использо­
вать расщепленные источники более низ­
кого напряжения или несимметричные
источники (например, + 1 2 В и - 3 В),
которые обеспечивают полный диапазон
напряжения питания (U+ — U__), согласно
спецификации ОУ (см. табл. 4.1). Часто
подходящими оказываются нестабили-
зированные источники напряжения, так
как благодаря отрицательной обратной свя­
зи обеспечивается высокое значение ко­
эффициента ослабления влияния напря­
жения источника питания (для ОУ типа
411 типичным является значение 90 дБ).
Во многих случаях бывает удобно, чтобы
ОУ работал от одного источника питания,
например + 12 В. Это можно делать и с
обы чны м ОУ, создав «искусственное и он будет работать так, как требуется
опорное напряжение» относительно зем­ (рис. 4.53). Эта схема представляет собой
ли, если позаботиться об обеспечении ми­ усилитель звуковых частот с усилением
нимально необходимого питания, обеспе­ 40 дБ. Опорное напряжение Uon = 0,5 U+
чивающего диапазоны выходного и вход­ обеспечивает полный размах выходного
ного синфазного напряжения. В некото­ напряжения, равный приблизительно 17 В
рых современных операционных усилите­ от пика до пика (около 6 В эф ф .) без
лях во входной и выходной диапазоны среза вершин сигнала. Конденсаторы на
входит и напряжение отрицательного ис­ входе и выходе блокируют уровень на­
точника (т. е. потенциал земли при ра­ пряжения постоянного тока, равный Uon.
боте с одним источником питания). Для 4.22. Операционные усилители с одним
таких ОУ возможность работы с одним источником питания. Существует такой
источником особенно заманчива благода­ класс операционных усилителей, который
ря простоте. Однако имейте в виду, что допускает работу с одним источником
наиболее распространено использование полож ительного напряж ения питания.
расщепленных симметричных источников Это связано с тем, что входные напряже­
питания. ния могут изменяться вплоть до предель­
4.21. Смещение усилителей переменного ного отрицательного значения (обычно
тока, использующих один источник пита­ п р и в я з а н н о го к п о тен ц и ал у зем л и ).
ния. Для операционных усилителей общего В этом классе в свою очередь можно вы­
назначения типа 411 размах напряжения делить два типа в зависимости от возмож­
на входах и на выходе обычно меньше ностей выходного каскада: в усилителях
диапазона напряжения питания (по абсо­ первого типа размах выходного напряже­
лютной величине) на 1,5 В. Если вывод ния ограничен снизу значением U_, в уси­
U_ соединить не с источником напряже­ лителях второго типа —двумя значениями
ния, а с землей, то ни на входе, ни на напряжения питания:
выходе напряжение не будет равно потен­ 1. Операционный усилитель типа LM324
циалу земли. Если же создать опорное (четыре ОУ в одной ИС)/ЬМ 358 (два ОУ
напряжение (равное, например, 0,5 U +), в одной ИС), LT1013 и TLC270. Для этих
то с его помощью можно сместить ОУ, схем нижний предел диапазона входного
Обратная связь и операционные усилители 241

синфазного сигнала на 0,3 В ниже, чем Выход: транзистор Т |3 работает при низ­
U_, а размах выходного напряжения огра­ ком выходном напряжении и может при­
ничен снизу значением напряжения U_. нять большой втекающий ток, однако он
Как на входах, так и на выходе предельное способен удержать выходное напряжение
значение напряжения на 1,5 В меньше, только на уровне падения напряжения на
чем напряжение U+. Если требуется, что­ диоде относительно земли. Более низкие
бы входной диапазон был ограничен зна­ напряжения обеспечивает приемник тока
чением U+, то лучше использовать ОУ на 50 мкА; это означает, что при низких
типа LM301/307, ОР-41 или 355; пример уровнях выхода (близких к 0 В) нельзя
использования такого типа ОУ приведен использовать нагрузку, через которую в
в разд. 6.24, посвященном обсуждению схему пойдет ток, больший 50 мкА, в про­
источников постоянного тока. Для того тивном случае напряжение на выходе не
чтобы понять некоторые тонкости п о­ сможет приблизиться к потенциалу зем­
строения таких ОУ, полезно обратиться к ли более чем на величину падения напря­
принципиальной схеме (рис. 4.54). Она жения на диоде. Даже при использова­
представляет собой дифференциальный нии «хорошей» нагрузки (например, в
усилитель; в качестве активной нагрузки виде разомкнутой цепи) приемник тока
входного каскада использовано токовое не может приблизить выходное напряже­
зеркало, выходной каскад является двух­ ние к потенциалу земли более чем на ве­
тактным и обеспечивает ограничение вы­ личину напряжения насыщения (0,1 В).
ходного тока. Запомните следующие ос­ Если надо, чтобы выходное напряжение бы­
новные моменты (напряжение U_ будем ло в точности равно потенциалу земли, то
называть землей): нагрузка должна отбирать небольшой ток;
Входы: использование на входе р —п —р - это может быть, например, заземленный
структуры приводит к тому, что размах резистор. В последнее время к семейству
напряжения ограничен снизу значением, операционных усилителей с одним источ­
которое на 0,3 В ниже потенциала земли; ником питания со входами на транзисто­
при превышении этого предела на любом рах р-п-р-типа добавились следующие схе­
из входов состояние выхода становится мы: прецизионны е ОУ типа LT1006 и
непредсказуемым (например, напряжение LT1014 (в одном корпусе один и четыре
на выходе может стать отрицательным). ОУ соответственно), микромощ ные ОУ
242 Глава 4

типа ОР-20 и ОР-90 (в обоих случаях в



одном корпусе один ОУ), и LP324 (в од­ 10 МОм
ном корпусе четыре ОУ).
Примеры схем с этими операционными
усилителями будут приведены после того,
как мы рассмотрим еще один тип ОУ,
работающий с одним источником пита­
ния.
2. Операционный усилитель типа LM10
(н а б и п о л я р н ы х т р а н зи с т о р а х ) или
СА5130/5160 (на полевых М О П -тран ­
зисторах). В выходных каскадах этих ОУ
используют комплементарные полевые
тр ан зи сто р ы . К огда он и п о л н о стью
открыты, то их сопротивление, включен­
ное между выходом и источником пита­
ния (U+ или U_), мало. Следовательно,
размах выходного напряжения ограничен лители типа ICL612 и AD1701/2, в кото­
значениями напряжения источников пи­ рых и входной, и выходной диапазоны
тания. Кроме того, напряжение на входах ограничены двумя значениями напряже­
может становиться ниже напряжения U_ ния питания.
на 0,5 В. В отличие от ОУ типа LM10, для Пример: фотометр с одним источником
ОУ типа СА5130 и 5160 полный диапазон питания. На рис. 4.55 показана типичная
питающего напряжения может составлять схема, в которой удобно использовать
не более 16 В, а диапазон входного син­ один источник питания. Подобную схему
фазного напряжения ограничен значени­ мы уже рассматривали выше, когда зна­
ями ± 8 В. В большинстве операционных комились с преобразователями тока в на­
усилителей на КМОП-транзисторах раз­ пряжение. В связи с тем что схему сол­
мах выходного напряжения ограничен зна­ нечной батареи можно с успехом исполь­
чениями питающих напряжений, однако зо в ать в п о р тати вн ы х п р и б о р ах для
нужно иметь в виду, что существуют та­ измерения светового потока, а также по­
кие семейства ОУ, в которых размах ог­ тому, что выходное напряжение может
раничен значением одного питающего быть только положительным, само собой
напряжения. Отметим также, что вход­ напрашивается желание использовать для
ной диапазон большинства ОУ на КМ ОП- этой схемы один источник питания в виде
транзисторах, также как ОУ на биполяр­ электрической батарейки. Резистор /?,
ных транзисторах, включает по крайней устанавливает размах выходного напряже­
мере одно значение напряжения питания. ния равным 5 В при входном фототоке
Например, в популярной серии интег­ величиной 0,5 мкА. В этой схеме нет не­
ральных схем TLC27xx фирмы TI размах обходимости регулировки напряж ения
входного и выходного напряжения огра­ смещ ения, так как неотрегулированное
ничен только отрицательным значением смещение величиной 10 мВ соответству­
напряжения питания, в то же время в ОУ ет пренебрежимо малому показанию ф о­
типа LMC660 фирмы National, в серии тометра, величиной 0,2% полного размаха
схем типа \CL16xx фирмы Intersil и в ОУ шкалы. Интегральная схема типа TLC251
на КМОП-транзисторах фирмы RCA вы­ представляет собой недорогой м икро-
ходной размах ограничен двумя значени­ мощный (питающий ток равен 10 мкА)
ями напряжения питания (правда, вход­ ОУ на КМ ОП-транзисторах, в котором
ной диапазон синфазного сигнала огра­ размах входного и выходного напряжения
ничен только отрицательным значением огран и чен отри цательны м зн ачен ием
напряжения питания). Особое место среди напряжения питания. Благодаря неболь­
ОУ занимают КМ ОП-операционные уси- шому входному току (типичное значение —
Обратная связь и операционные усилители 243

ICL7600
LM10 LT1013
LMC660
СА5422 К .
СА5160

I E

0Р -9 0

- 5
1 пА при комнатной температуре), эта себя операционны е усилители с тран ­
схема подходит для приложений, подоб­ зистором с общим эмиттером п-р-п-типа,
ных описанному здесь, в которых исполь­ на которы й подан п отен ц и ал зем ли ,
зуется слабый ток. Отметим, что при ис­ т. е. выходное напряжение ограничено по­
пользовании биполярного ОУ схема бу­ тенциалом земли, даже если отбирается
дет лучше работать при низких уровнях ток. П р и м ер ам и служ ат схемы ти п а
света, если фотодиод подключить так, как LM10, СА5422 и LT1013/14. Оба типа
показано на рис. 4.94, л. выходных каскадов могут, конечно, ра­
Если вы имеете дело с операционными ботать на разомкнутую схему или на на­
усилителями с одним источником пита­ грузку, которая отбирает ток на землю,
ния, будьте внимательны к заявлениям (в) В некоторых ОУ, таких как 358 или
о выходном размахе, ограниченном отри­ 324, используется подключенный к «зем­
цательным значением напряжения пита­ ле» повторитель р-п-р-типа (размах кото­
ния (потенциалом земли). На самом деле рого ограничен потенциалом земли в пре­
существуют четыре типа выходных каска­ делах нескольких падений напряжения на
дов, выходной размах которых ограничен диоде), соединенный параллельно со схе­
потенциалом земли, но все они обладают мой на транзисторах п-р-п-типа, потреб­
разными свойствами (рис. 4.56): (а) опе­ ляющей ток (отбирающей ток на землю).
рационные усилители с К М О П -тран - В схеме типа 358 внутренний потребляе­
зисторам и на выходе на самом деле мый ток установлен равным 50 мкА. В этой
обеспечиваю т разм ах, огр ан и ч ен н ы й схеме размах выходного напряжения ог­
значениями питающих напряжений; такой раничен точно потенциалом земли при
каскад может дотянуть выходное напря­ условии, что отбираемый из нагрузки ток
жение до потенциала земли, даже если не превышает 50 мкА. Если же нагрузка
схема отбирает небольшой ток. В каче­ порождает больший ток, то выходной раз­
стве примера назовем схемы типа ICL76xc, мах ограничен потенциалом земли в пре­
LMC660 и СА5 160. (б) Аналогично ведут делах падения напряжения на диоде.
244 Глава 4

+5 па без параллельного потребителя тока.


Такой выходной каскад может иметь раз­
мах, ограниченный потенциалом земли
только в том случае, если нагрузка «по­
могает», потребляя ток, т. е. подключе­
на к земле. Если вы захотите использо­
вать такой ОУ с нагрузкой, которая пред­
ст а в л я е т со б о й и с т о ч н и к т о к а , вам
придется включить в схему внешний ре­
зистор, связанный с «землей» (рис. 4.57).
Предостережение: было бы неправиль­
но считать, что выходной размах любого
ОУ можно ограничить отрицательным зна­
чением напряжения питания, если под­
клю чить в н еш н и й п отреб итель тока.
В большинстве случаев схема, управля­
ющая выходным каскадом не допускает
этого. Внимательно изучайте документа­
цию на схему!
Рис. 4.57. Подключение нагрузки к ОУ с одним Пример: усилитель постоянного тока с
источником питания. Для всех вариантов схем с одним источником питания. На рис. 4.58
одним источником питания (а-г) размах выходного показан типичный неинвертирующий уси­
напряжения ограничен потенциалом земли, при ус­
ловии, что схема является источником тока. Для
литель с одним источником питания,
некоторых вариантов схем (а и б) размах выходного предназначенный для усиления входного
напряжения ограничен потенциалом, близким к си гн ал а п олож и тел ьн ой п о л яр н о сти .
потенциалу земли, при этом схемы потребляют ток Входное, выходное напряжение и поло­
средней или значительной величины; схема в может жительное напряжение питания измеря­
потреблять ток величиной до 50 мкА, а для схемы г ются относительно потенциала земли,
требуется нагрузочный резистор, соединенный с зем­
которая служит в качестве отрицательно­
лей, тогда схема будет работать с напряжением, близ­
ким к потенциалу земли. го напряжения питания для ОУ. Выход­
ной «спускающий» резистор может потре­
Как и прежде, выходная схема этого типа боваться только в усилителях, отнесенных
успешно работает источником тока на нами к первому типу, для обеспечения раз­
заземленную нагрузку (как в примере с маха, ограниченного потенциалом «земли»;
фотометром), (г) И наконец, в некото­ эту функцию может выполнить цепь об­
рых ОУ с одним источником питания (на- ратной связи или сама нагрузка. Важный
при-мер, типа ОР-90) используется подклю­ момент: запомните, что выходное напря­
ченный к «земле» повторитель р —п —р -т - жение не может быть отрицательны м;

И*

Рис. 4.58. Усилитель постоянного тока с одним


источником питания.
Обратная связь и операционные усилители 245

следовательно, этот усилитель нельзя ис­


пользовать, скажем, для звуковых радио­
сигналов переменного тока.
Операционные усилители с одним ис­
точником питания незаменимы в обору­
довании, использующем питание от бата­
реек. К этой теме мы еще обратимся в
гл. 14.
Рис. 4.59.

КОМПАРАТОРЫ И ТРИГГЕР ШМИТТА не насыщался, напряжение на входах дол­


жно быть равно долям милливольта. Хотя
Очень часто бывает нужно установить, в качестве компаратора можно использо­
какой из двух сигналов больше, или оп ­ вать (а часто и используют) обычный опе­
ределить, когда сигнал достигнет задан­ рационный усилитель, промышленность
ного значения. Например, при генера­ выпускает специальные интегральные схе­
ции треугольных колебаний через кон­ мы, предназначенные для использования
денсатор пропускают положительный или в качестве компараторов. К ним относят­
отрицательный ток, полярность тока и з­ ся, например, интегральные схемы типа
меняют в тот момент, когда амплитуда LM306, LM 311, LM393, NE527 и TLC372.
достигает заданного пикового значения. Эти кристаллы обладают очень высоким
Другим примером служит цифровой вольт­ быстродействием и даже не принадлежат
метр. Для того чтобы преобразовать н а­ к семейству операционных усилителей.
пряжение в код, на один из входов ком­ Например, для схемы типа NE521 ско­
паратора подают неизвестное напряже­ рость нарастания составляет несколько
ние, а на другой —линейно-нарастающее тысяч вольт в 1 мкс. Для компараторов
напряжение (конденсатор + источник обычно не используют термин «скорость
тока). Цифровой счетчик подсчитывает нарастания», вместо этого говорят о за­
периоды генератора, пока линейно-на- держке распространения относительно
растающее напряжение меньше, чем не­ сигнала, заданного на вход.
известное; в момент равенства амплитуд Выходные каскады компараторов обыч­
производится считы вание результата, но обладают большей гибкостью в при­
полученного на счетчике. Результат про­ менениях, чем выходные каскады опера­
порционален входному н ап ряж ени ю . ц ионны х усилителей. В обы чном ОУ
Такое преобразование называют интегри­ используют двухтактный выходной кас­
рованием с одним углом наклона; в бо­ кад, который обеспечивает размах напря­
лее сложных приборах используют интег­ жения в пределах между значениями на­
рирование с двумя углами наклона (см. пряжения питания (например, ± 13 В для
разд. 9.21). ОУ типа 741, работающего от источников
± 15 В); в выходном каскаде компаратора
4.23. Компараторы эмиттер, как правило, бывает заземлен
и выход снимается с «открытого коллек­
Простейшим компаратором является тора». С помощью внешнего резистора
дифференциальный усилитель с большим «притяжения» (это название просто заим­
коэффициентом усиления, построенный ствовано из другой области), подключен­
на основе транзисторов или операцион­ ного к источнику напряж ения, можно
ных усилителей (рис. 4.59). В зависимо­ сделать так, чтобы сигнал на выходе из­
сти от знака разности входных напряже­ менялся в пределах, скажем, от + 15 В
ний операционный усилитель оказывает­ до потенциала земли. В дальнейшем вы
ся в положительном или отрицательном увидите, что для логических схем точно
насыщении. Коэффициент усиления по оп р ед ел яю тся зн а ч е н и я н ап р яж ен и й
напряжению обычно превышает 100 ООО, сигналов, с которыми они должны ра­
поэтому, для того чтобы выход усилителя ботать; описанная схема подошла бы для
246 Глава 4

+5 Некоторые пояснения по компараторам.


Запомните: а) в связи с тем что в схеме
нет отрицательной обратной связи, она не
подчиняется правилу I; напряжения на
входах неодинаковы; б) отсутствие отри­
цательной обратной связи приводит к
тому, что входной импеданс (импеданс
для дифференциального сигнала) не стре­
мится принять высокое значение, харак­
терное для операц и онн ого усилителя.
Рис. 4.60. В результате при срабатывании переклю­
чателя наблюдается изменение нагрузки
управления логическими схемами типа и изменение (небольшое) входного тока;
ТТЛ, получившими широкое распрост­ если импеданс управляющей схемы очень
ранение в цифровой электронике. Такая велик, то могут возникнуть весьма стран­
схема изображена на рис. 4.60. Н апря­ ные явления; в) в некоторых компарато­
жение на выходе переключается с уровня рах размах дифференциального входного
+ 5 В на уровень потенциала земли, ког­ сигнала ограничен и составляет иногда
да напряжение на входе становится отри­ всего ± 5 В. Внимательно изучайте спе­
цательным. Эта схема представляет собой ц и ф и к а ц и и н а и н тегр ал ьн ы е схемы!
пример использования компаратора для Свойства некоторых распространенных
аналогоцифрового преобразования. компараторов приведены в табл. 9.3 и
Это первый для нас пример схемы с обсуждаются в разд. 9.7.
открытым коллектором; прочитав гл. 8—11,
вы увидите, что такую конфигурацию 4.24. Триггер Шмитта
очень часто используют в логических схе­
мах. При желании можно представить, Простейшая схема компаратора, пред­
что внешний «притягивающий» резистор ставленная на рис. 4.60, имеет два недо­
дополняет внутреннюю схему компарато­ статка. При медленно изм еняю щ ем ся
ра и выступает в качестве коллекторной входном сигнале напряжение на выходе
нагрузки для выходного тран зи стора также может изменяться достаточно мед­
п-р-п-типа. В связи с тем что выходной ленно. Более того, если во входном сиг­
транзистор работает как насыщенный или нале присутствует шум, то на выходе мо­
разомкнутый переключатель, строгих тре­ жет происходить дребезг в те моменты,
бований к величине сопротивления рези­ когда напряжение на входе проходит че­
стора не предъявляют — обычно сопро­ рез точку переключения (рис. 4.61). Оба
тивление выбирают в диапазоне от не­ недостатка позволяет устранить положи­
скольких сотен до нескольких тысяч ом; тельная обратная связь (рис. 4.62). Ре­
небольшие величины сопротивления обес­ зистор Л3 создает в схеме два порога сра­
печивают большую скорость переключе­ батывания в зависимости от состояния
ния и повышают помехоустойчивость, выхода. Для приведенного примера ниж ­
правда, за счет увеличения рассеиваемой ний порог срабатывания определяется
мощ ности. М ежду п рочи м , несм отря уровнем 4,76 В при условии, что напряже-
на то что компараторы очень похожи на Вход
операционные усилители, в них никогда
не использую т отрицательную об рат­ Точка срабатыва­
ния (напряжение
ную связь, так как она понижает стабиль­ на другом входе
ность работы этих устройств (см. разд. компаратора)
4.32—4.34). В то же время положитель­
ную обратную связь используют часто, вы ___________ 1Ш !
убедитесь в этом, прочитав следующий
раздел. Рис. 4.61.
Обратная связь и операционные усилители 247

ut

Рис. 4.62.

ние на выходе равно потенциалу земли сом процедура разработки проста. Вос­
(высокий уровень на входе); когда напря­ пользуемся схемой, приведенной на рис.
жение на выходе равно + 5 В, то порог 4.62, б. Сначала выберем резистивный
определяется уровнем 5,0 В. Вероятность делитель (Л ,/у , чтобы приблизительно
того, что шумовой сигнал на входе вызо­ установить правильное пороговое напря­
вет многократные переключения выхода, жение; если вы хотите, чтобы пороговое
в данном случае меньше (рис. 4.63). Кро­ напряжение было близко к потенциалу
ме того, положительная обратная связь земли, нужно воспользоваться одним ре­
обеспечивает быстрое переключение вы­ зистором, который включен между неин­
хода независимо от скорости изменения вертирующим входом и землей. Далее,
входного колебания. (Для того чтобы еще выберем резистор (положительной) обрат­
больше увеличить скорость переключения, ной связи Л3, который обеспечит требуе­
к резистору R} часто подключают неболь­ мый гистерезис. Напомним, что гистере­
шой ускоряющий конденсатор емкостью зис равен выходному размаху, ослаб ­
10—100 пФ.) Эта схема и называется триг­ ленному резистивным делителем, образо­
гером Шмитта. (При использовании опе­ ванным резисторами R} и Л,| |Л2. И нако­
рационного усилителя «притягивающий» нец, выберем выходной «притягивающий»
резистор был бы не нужен.) Состояние резистор Л4 достаточно небольшой вели­
выхода зависит как от входного напря­ чины для обеспечения полного размаха в
жения, так и от недавней предыстории — пределах питающего напряжения, прини­
это так называемый эффект гистерезиса. мая во внимание нагружающий эффект
Его иллюстрирует представленны й на резистора Rr Если вы хотите, чтобы по­
рис. 4.64 график зависимости выходно­ роговые напряжения были симметричны
го напряжения от входного. Для триг­ о т н о с и т е л ь н о п о т е н ц и а л а зе м л и ,
геров Ш митта с небольшим гистерези­ включите между неинвертирующим вхо-

Верхний порог
+ 5,0 срабатывания
ь 4,76 Ч— X Ниж ний порог
1 •""‘срабатывания
Вход
+5
248 Глава 4

усилителя при разомкнутой цепи обратной


связи ограничивает его возможности при
использовании в схеме с обратной связью.
В частности, коэффициент усиления при
замкнутой цепи обратной связи никогда не
может стать больше, чем коэффициент уси­
ления при разомкнутой цепи обратной свя­
зи, а по мере того как величина коэффи­
циента усиления при разомкнутой цепи
обратной связи приближается к величине
коэффициента усиления при замкнутой
цепи обратной связи, усилитель все дальше
Рис. 4.65.
отходит по своим характеристикам от иде­
ального. В этом разделе мы оценим коли­
дом и источником отрицательного н а­ чественные отклонения, и вы сможете за­
пряжения питания резистор смещ ения ранее определять характеристики усилите­
соответствующей величины. Резисторы ля с обратной связью , состоящ его из
можно подобрать так, чтобы выходной ток реальных (а не идеальных) компонентов.
и импеданс находились в пределах требу­ Это будет полезно и при разработке усили­
емого диапазона. телей с обратной связью на основе только
Дискретная транзисторная схема триггера дискретных компонентов (транзисторов);
Шмитта. Для построения схемы триггера для дискретных усилителей коэффициент
Шмитта можно также использовать обыч­ усиления при разомкнутой цепи обратной
ные транзисторы (рис. 4.65). Транзисто­ связи обычно намного меньше, чем д ля опе­
ры Тх и Т имеют общий эмиттерный ре­ рационного усилителя. Их выходной им­
зистор. Важно, чтобы кол л екторн ы й педанс, например, отличен от нуля. Одна­
резистор транзистора Т, был больше, чем ко, если вы будете хорошо разбираться в
коллекторны й р ези стор Т 2. П ри вы ­ принципах обратной связи, то это поможет
полнении этого условия пороговый уро­ вам получить требуемые характеристики в
вень включения транзистора 7j, который любой схеме.
превышает напряжение на эмиттере на
величину падения напряжения на диоде, 4.25. Уравнение для коэффициента
уменьшается при включении транзистора усиления
7j, так как эмиттерный ток больше, если
проводит транзистор Тт Здесь, как и в Рассмотрим усилитель, обладающий ко­
рассмотренной выше интегральной схеме нечным коэффициентом усиления и ох­
триггера Ш митта, наблюдается эффект ваченный петлей обратной связи, обра­
гистерезиса для порогового напряжения зую щ ей н еи нвертирую щ ий усилитель
триггера. (рис. 4.66). Коэффициент усиления уси­
лителя при разомкнутой цепи обратной
Упражнение 4.10. Разработайте триггер Шмитта связи равен А, а благодаря обратной свя­
на основе компаратора типа 311 (с открытым кол­
лектором). Пороговые уровни должны быть равны зи из входного напряж ения вычитает­
+ 1,0 В и +1,5 В. К источнику напряжения +5 В ся часть выходного (BUBhn). В дальнейшем
подключите «притягивающий» резистор с сопротив­ мы обобщим полученные результаты и
лением 1,0 кОм. Для компаратора типа 311 исполь­
зуйте источники питания с напряжением ± 15 В.

ОБРАТНАЯ СВЯЗЬ И УСИЛИТЕЛИ


С КОНЕЧНЫМ УСИЛЕНИЕМ

В разд. 4.12 мы упомянули, что конечный


коэффициент усиления операционного
Обратная связь и операционные усилители 249

распространим их и на токи, и на на­


пряжения. Итак, на усилительный блок
поступает н ап ряж ен и е, равное U —
- В1/вш. Выходное напряжение больше
входного в А раз: A(Um - BUm„) = Uaux.
Или 1/ъш = [А/(1 + АВ)\ 1/т, и коэффи­
циент усиления по напряжению при замк­
нутой цепи обратной связи £/ых/ Um равен
К = А/( 1 + АВ). Рис. 4.67.
Принята следующая терминология: К —
коэффициент усиления при замкнутой и т. п.). Допустим, например, что зависи­
цепи обратной связи, А — коэффициент мость коэффициента А от частоты можно
усиления при разомкнутой цепи обратной представить в виде графика, показанного
связи, АВ —коэффициент передачи в петле на рис. 4.67. Усилитель с такой харак­
обратной связи (петлевое уси л ен и е), теристикой, без всякого сомнения, мож­
1 + уА В - глубина обратной связи для диф­ но отнести к числу плохих (коэффициент
ференциального сигнала, или коэф ф и­ усиления изменяется в 10 раз). Предста­
циент грубости схемы. Цепь обратной вим, что мы ввели обратную связь и
связи называют иногда (5-цепью (что не В = 0,1 (п од ой д ет п ростой д ел и тел ь
имеет никакого отношения к коэффици­ напряжения). Коэффициент усиления при
енту р, т. е. Л2|Э, транзистора). замкнутой цепи обратной связи изменя­
ется от 1000/[1 + (1 0 0 0 -0 ,1 )] или 9,9 до
4.26. Влияние обратной связи 10 000Д1 + (10 0000,1)], или 9,99. В том
на работу усилителей же диапазоне частот изменение коэффи­
циента усиления составляет всего 1%.
Рассмотрим, как влияет обратная связь на Если пользоваться терминологией, при­
работу схемы. Действие обратной связи нятой в технике звуковых частот, то не­
проявляется прежде всего в том, что мож­ равномерность характеристики усилителя
но заранее оценить усиление схемы и без обратной связи в полосе частот со­
уменьшить искажения, а также в том, что ставляет ± 10 дБ, а при наличии обрат­
изменяются входной и выходной импе­ ной связи неравномерность характерис­
дансы. тики составляет всего + 0,04 дБ. Если
Предварительная оценка усиления. Ко­ включить последовательно три таких кас­
эффициент усиления по напряжению ра­ када, то коэффициент усиления вновь бу­
вен А/{1 + АВ). Если считать величину дет равен 1000, а неравномерность оста­
коэффициента А бесконечно большой, то ется почти такой же малой, как у одного
получим К = 1/В. Этот результат мы по­ каскада с обратной связью. Подобная за­
лучили раньше, когда рассматривали не­ дача (а именно необходимость получения
инвертирующий усилитель, в котором сиг­ плоской характеристики телеф онного
нал обратной связи подавался на инвер­ уси л и тел я) п ри вела к и зобретен и ю
тирующий вход с помощ ью делителя отрицательной обратной связи. Изобрета­
напряжения, подключенного к выходу тель Гарольд Блэк писал (журнал Electrical
(рис. 4.69). К оэффициент усиления по Engineering, 53, 114 (1934)): «Установлено,
напряжению при замкнутой цепи обрат­ что если взять усилитель, коэффициент
ной связи представляет собой величину, усиления которого больше, чем нужно,
обратную коэффициенту передачи дели­ скажем на 40 дБ (10 000-кратный запас по
теля напряжения. В том случае, когда мощности), а затем подключить к нему
коэффициент А ограничен, обратная связь цепь обратной связи таким образом, чтобы
все равно уменьшает влияние изменений погасить избыточное усиление, то оказы­
А (п р о и сх о д ящ и х под возд ей ств и ем вается, что постоянство усиления заметно
частоты, температуры, величины сигнала улучшается, а линейность увеличивается».
250 Глава 4

Если в зять п р о и зв о д н у ю от G по
А (dG/dA), то нетрудно показать, что
уменьшение относительных изменений ко­
эффициента усиления при замыкании пет­
ли обратной связи определяется величи­
ной ко эф ф и ци ен та грубости: А К /К =
= [ 1/(1 + А В )] АА/А. Следовательно, для
получения хорошей характеристики необ­
ходимо, чтобы коэффициент петлевого
усиления АВ был значительно больше еди­
ницы. Это равносильно условию, соглас­
но которому коэффициент усиления при
разомкнутой петле обратной связи должен
быть намного больше, чем коэффициент связь со сложением тока уменьшает сиг­
усиления при замкнутой петле обратной нал на входе усилителя, подавляя его то­
связи. ком, текущим по цепи обратной связи.
Увеличение стабильности сопровожда­ Посмотрим, как обратная связь меняет
ется уменьшением нелинейности, кото­ действующее значение входного импедан­
рая определяется изменениями коэф ф и­ са на примере обратной связи со сложе­
циента усиления в зависимости от уровня нием напряжений. Аналогичные рассуж­
сигнала. дения вы можете провести и для второго
Входной импеданс. При построении схе­ случая. Используем модель ОУ с конеч­
мы с обратной связью из входного напря­ ным входным сопротивлением (рис. 4.68).
жения или тока вычитается некоторая Входное напряжение £/ х уменьшается на
часть, пропорциональная выходу (такую величину BU , и на выходах усилителя
обратную связь называют соответственно действует дифференциальное напряжение
последовательной или параллельной об­ Uл и ф. = Uвх — BUвы х . Входной ток при этом
^ к
ратной связью). Например, в неинверти­ равен
рующем ОУ часть выходного напряжения
вычитается из дифференциального на­ J В и вык ^
пряжения, действующего на входе, а в
инвертирующем происходит вычитание RBx
части входного тока. В этих двух случаях Um - [ \ - A B / ( l + AB)]
обратная связь противоположным образом
влияет на входной импеданс. Обратная
связь со сложением напряжения увеличи­ (1 + AB)Rm
вает входной импеданс при замкнутой
петле обратной связи в (1 + АВ) раз Отсюда действующее значение входного
(по сравнению с разомкнутой схемой), сопротивления равно
в то же время обратная связь со слож е­
R'ВХ = UВХ'/1вх =(1 +АВ)' Rвх .
нием тока уменьшает его во столько же v

раз. При стремлении коэффициента пере­ Классическая схема неинвертирующего


дачи петли обратной связи к бесконечно­ ОУ с обратной связью имеет точно такой
сти входной импеданс (со стороны входа вид, как показано на рис. 4.69. Для этой
усилителя) стремится к бесконечности схемы В — Rl/( R I + R2), коэффициент уси­
или к нулю соответственно. Это и понят­ ления по напряжению определяется вы­
но, так как обратная связь со сложением ражением Ки = 1 + R2/R l , для идеального
напряжения стремится вычесть из вход­ случая коэффициент усиления по напря­
ного такой сигнал, что в результате паде­ жению при разомкнутой цепи обратной
ние напряжения на входном сопротивле­ связи А равен бесконечности и входной
нии усилителя будет меньше в АВ раз; это импеданс также равен бесконечности.
своего рода следящая связь. Обратная Для конечного коэффициента передачи в
Обратная связь и операционные усилители 251

Классический инвертирующий ОУ, по­


казанный на рисунке, представляет собой
сочетание усилителя с параллельной об­
ратной связью и резистора, подключен­
ного последовательно ко входу. Входной
импеданс в этом случае равен сумме со­
противления Л, и импеданса со стороны
суммирующей точки. Для петли с высо­
ким коэффициентом усиления Rm и R t
Рис. 4.69. приблизительно равны между собой.
Очень кстати сейчас в качестве упраж­
нения вывести выражение для коэффи­
петле обратной связи справедливы выра­
циента усиления по напряжению для ин­
жения, полученные выше.
вертирующего усилителя с конечным уси­
Схема инвертирующего ОУ отличается
лением в петле обратной связи. Выражение
от схемы неинвертирующего ОУ и ана­
имеет вид
лизировать ее следует отдельно. Лучше
всего рассматривать ее как сочетание q= ~ А{\ - В)/(\+АВ),
входного резистора, управляющего схе­
где В определяется как и раньше, а имен­
мой с обратной связью со сложением тока
но В = R {(R. + R2). Д ля предельного
(рис. 4.70). В случае обратной связи со
значения коэффициента усиления А при
сложением тока (параллельной) на входе
разомкнутой цепи обратной связи, G =
усилителя (инвертирующем) суммируют­
= - 1/В + 1 (т. е. G = - R1/ R ]).
ся ток из цепи обратной связи и входной
ток (такой усилитель является фактически Упражнение 4.11. Выведите предыдущие выраже-
усилителем с передаточным сопротивле­ ния для входного импеданса и коэффициента уси­
ления инвертирующего усилителя.
нием; он преобразует входной ток в вы­
ходное нап ряж ени е). О братная связь Выходной импеданс. Обратим теперь
уменьшает импеданс со стороны «сумми­ внимание на то, что цепь обратной связи
рующего входа» в (1 + А) раз (попро­ передает с выхода на вход сигнал, про­
буйте это доказать). При очень больших порциональный либо выходному напря­
значениях коэффициента передачи в пет­ жению , либо току нагрузки. В первом
ле обратной связи (например в ОУ) вход­ случае выходной импеданс при замыка­
ной импеданс уменьшается до долей ома, нии обратной связи уменьшается в (1 +
что является хорошей характеристикой д ля + А В) раз, а во втором — во столько же
усилителей с токовым входом. В качестве раз увеличивается. Рассмотрим это явле­
примеров можно привести усилитель фо­ ние на примере напряжения. Начнем с
тометра (разд. 4.22) и логарифмический модели, представленной на рис. 4.71. На
преобразователь (разд. 4.14).

Я,

Рис. 4.70. Входной и выходной импедансы усили­


теля с передаточным сопротивлением , Z lx =
= Д2/(1 +к), ZBU,= Z С)/(\ +А) (а); инверти­
рующего усилителя, Z = R, + RJ(\ + A), Z =
- z ^ o c / d + A в = * , / < *+ jy.
252 Глава 4

этой схеме выходной импеданс показан в влияет на величину коэффициента уси­


явном виде. Для упрощения вычислений ления без обратной связи (обратная связь
воспользуемся следующим приемом: зам­ устранена, но подключение выполнено),
кнем вход накоротко и положим, что вы­ следует использовать модифицированный
ходное напряжение равно U; определив коэффициент усиления разомкнутой пет­
выходной ток I, найдем выходной импе­ ли обратной связи. И наконец, обычно
данс Лв'ых = U/1. Напряжение U на выходе предполагается, что (3-цепь является на­
создает на входе усилителя падение на­ правленной, т. е. она не передает сиг­
пряжения, равное —В /U , которое в свою нал со входа на выход.
очередь создает во внутреннем генераторе
усилителя напряжение —ABU. Выходной 4.27. Два примера транзисторных
ток при этом равен усилителей с обратной связью
U —(—ABU) U(l + AB) Рассмотрим показанны й на рис. 4.72
/ =
Л Л транзисторный усилитель с отрицательной
следовательно, действующий выходной обратной связью.
импеданс определяется выражением Описание схемы. На первый взгляд она
может показаться сложной, на самом деле
R'ВЫХ = U/I
'
= RВЫХ '/(1
v
+АВ).
' в ней нет хитростей и проанализировать
Если используется обратная связь по ее достаточно легко. Транзисторы Г, и Т2
току, т. е. сигнал обратной связи про­ образуют дифференциальную пару, а до­
порционален току в нагрузке, то выраже­ полнительное усиление ее выходного сиг­
ние для выходного импеданса принимает нала обеспечивает усилитель с общим
вид эмиттером на Тг Резистор R6~ это ре­
зистор коллекторной нагрузки Г3, а двух­
R'ВЫХ = Rв ы х /(1
' '
+АВ).
' тактный каскад на транзисторах Т4 и Ть
Можно использовать несколько цепей представляет собой выходной эмиттерный
обратной связи как по току, так и по на­ повторитель. Выходное напряжение по­
пряжению. В общем случае выходной ступает в цепь ОС, которая состоит из де­
импеданс определяется формулой Блэк­ лителя напряжения, образованного рези­
мана: сторами Л4 и R5 и конденсатором С2,
благодаря которому коэффициент усиле­
R'ВЫХ = RВЫХ [1
1
+ (АВ)
' ' K .S .
+ (АВ)
'
],
/ X.X.J ' ния схемы с ОС по постоянному току
где (АВ)кз —коэффициент передачи цепи уменьшается до единицы (для стабилиза­
обратной связи при коротком замыкании ц ии р еж и м а по п о с т о я н н о м у то ку ).
выхода; (АВ)хх — коэффициент передачи Резистор R3 определяет ток смещения в
цепи обратной связи при обрыве цепи дифференциальной паре; наличие петли
нагрузки (на холостом ходу). Таким об­ обратной связи, охватывающей схему, га­
разом, с помощью обратной связи мож­ рантирует, что выходное напряжение по­
но получить нужный выходной импеданс. коя равно потенциалу земли, а потому
Это выражение есть обобщение получен­ оказывается, что ток покоя Тъ составляет
ных выше результатов для произвольной 10 мА (падение напряжения на R6 при­
комбинации обратных связей по току и близительно равно и ээ). Как уже было по­
по напряжению. казано в разд. 2.14, диоды смещают двух­
Цепь ОС и нагрузка усилителя. Выпол­ тактный каскад в состояние проводимос­
няя расчеты для схем с обратной связью, ти, при этом падение напряж ения на
обычно предполагают, что (3-цепь не на­ последовательном соединении резисторов
гружает выход усилителя. В противном R7 и Л8 равно падению напряжения на
случае это следует учесть при расчете ко­ диоде, т. е. ток покоя выходного повто­
эффициента усиления при разомкнутой рителя равен 60 мА. Это усилитель класса
петле обратной связи. Точно так же, если АВ, в котором за счет потери мощности
подключение (5-цепи на входе усилителя в 1 Вт, рассеиваемой каждым выходным
Обратная связь и операционные усилители 253

Входной
сигнал

Рис. 4.72. Транзисторный усилитель мощности с отрицательной обратной связью.

транзистором, уменьшаются переходные транзисторной схеме входным током сме­


искажения. щ ени я п р ен еб р еч ь н ел ьзя (он равен
С точки зрения рассмотренных ранее 4мкА) - на входных резисторах, имеющих
схем необычным в этой схеме является сопротивление 100 кОм, он создает па­
только то, что коллекторное напряжение дение напряжения, равное 0,4 В. В по­
покоя транзистора Тх меньше напряжения добных схемах транзисторных усилителей
питания Ukk всего на величину падения входные токи значительно больше, чем в
напряжения на диоде. Оно должно быть операционных усилителях, поэтому осо­
таким для того, чтобы тран зи стор Т3 бенно важно, чтобы сопротивления по
находился в режиме проводимости, а под­ постоянному току со стороны входов были
держивает это состояние цепь обратной равны (очевидно, что лучше было бы ис­
связи. Если, наприм ер, коллекторное пользовать здесь на входе составной тран­
напряжение транзистора Г, приблизилось зистор Дарлингтона).
бы к потенциалу земли, то транзистор Г3 Анализ схемы. Рассмотрим приведенную
начал бы проводить большой ток, при схему подробно и определим коэф ф ици­
этом увеличилось бы выходное напряже­ ент усиления, входной и выходной импе­
ние, а это в свою очередь привело бы к дансы и величину нелинейных искаже­
тому, что через транзистор Т2 тоже стал ний. Для того чтобы можно было оце­
бы протекать большой ток, что вызвало нить влияние обратной связи, определим
бы уменьшение коллекторного тока в эти параметры при разомкнутой и замк­
транзисторе Тх и восстановило бы нару­ нутой цепи обратной связи (учитывая, что
шенный статус-кво. Резистор R2 подобран при разомкнутой цепи обратной связи
таким образом, чтобы ток покоя транзис­ смещение практически бесполезно). Для
тора Tt создавал на нем падение напря­ того чтобы оценить влияние обратной свя­
жения, равное падению напряжения на зи на линейность, определим коэф ф ици­
диоде, тогда в точке покоя коллекторные ент усиления при напряжениях на выхо­
токи в дифференциальной паре будут при­ де, равных +10 В, —10 В, и напряжении,
близительно равны между собой. В этой соответствующем точке покоя (0 В).
254 Глава 4

Цепь ОС разомкнута. Входной импеданс. данс увеличивается в (1 + коэффициент


Разорвем цепь обратной связи в точке X передачи петли ОС) раз. Цепь обратной
и заземлим правый конец резистора Rr связи представляет собой делитель напря­
Для входного сигнала сопротивление оп­ жения с отношением плеч В = 1/30 на
ределяется параллельным соединением со­ частотах сигнала, следовательно, коэффи­
противления 100 кОм и сопротивления циент усиления в петле обратной связи
со стороны базы. Последнее состоит из равен АВ — 70. Следовательно, входной
увеличенны х в Л2|Э раз собствен н ого импеданс определяется параллельны м
эмитгерного сопротивления Тх плюс со­ соединением сопротивления 70 - 16кОм и
противление со стороны эмиттера Т2, при рези стора см ещ ени я соп роти влени ем
определении которого надо учесть, что 100 кОм, т.е. приблизительно 92 кОм.
к базе транзистора Т2 подключена цепь Можно считать, что входной импеданс
обратной связи. Если hm — 250, то Z - определяется резистором смещения.
- 250 [(2 • 25) + (3,3 к0м /250)], т. е. ZBX- Выходной импеданс. В связи с тем что
- 16 кОм. часть выходного напряжения передается
Выходной импеданс. В связи с тем что на вход, выходной импеданс уменьшает­
сопротивление коллектора транзистора Тъ ся в (1 + коэффициент усиления петли
велико, можно считать, что на выходные ОС) раз. Следовательно, выходной им­
транзисторы работает источник с сопро­ педанс равен 0,3 Ом. Отметим, что это
тивлением 1,5 кОм (Л ). Выходной импе­ импеданс для малого сигнала. Не следу­
данс составляет приблизительно 15 Ом ет думать, что полный размах выходного
(й2|Э - 100) плюс эмиттерное сопротив­ напряжения можно получить на нагрузке
ление, равное 5 Ом, итого 20 Ом. Соб­ с сопротивлением, например 1 Ом. Эмит­
ственным эмиттерным сопротивлением терный резистор сопротивлением 5 Ом,
величиной 0,4 Ом можно пренебречь. присутствую щ ий в выходном каскаде,
Коэффициент усиления. Сопротивление ограничивает размах большого сигнала.
нагрузки дифференциального каскада оп­ Например, на нагрузке с сопротивлени­
ределяется параллельным соединением ем 4 Ом можно получить размах, равный
Л, и базового сопротивления транзистора приблизительно 10 В (от пика до пика).
Ту В связи с тем что ток покоя транзис­ Коэффициент усиления. К оэффициент
тора Т} составляет 10 мА, его собственное усиления равен А/(\ + АВ). В точке покоя
эмиттерное сопротивление равно 2,5 Ом, он принимает значение 30,84, при этом
а входное сопротивление со стороны ба­ используется точное значение для В. Для
зы - 250 Ом (h2l3 - 250). Следовательно, иллю страции стабильности уси лен и я,
коэффициент усиления дифференциальной обусловленной отрицательной обратной
пары равен 250 11 620 Ом/2 • 25 Ом - 3,5. связью, ниже приведены значения пол­
Коэффициент усиления по напряжению ного коэффициента усиления по напря­
второго каскада, на транзисторе Tv равен жению для схемы при наличии и в отсут­
1,5 кОм/2,5 Ом = 600. Полный коэф ф и­ ствие обратной связи для трех значений
циент усиления по напряжению в точке выходного напряжения. Очевидно, что
покоя равен 3,5 • 600 = 2100. Коэффициент отрицательная обратная связь существен­
усиления Т} зависит от величины коллек­ но улучшает характеристики усилителя,
торного тока, и поэтому он существенно но справедливости ради следует отметить,
изменяется при изменении сигнала от что схему можно было бы разработать и
пика до пика — в этом проявляется не­ так, чтобы ее характеристики при разом­
линейность схемы. В следующем разде­ кнутой петле обратной связи были луч­
ле приводятся значения коэффициента ше; например в качестве коллекторной
усиления для трех значений выходного на­ нагрузки Т} можно было бы использовать
пряжения. источник тока, в эмитгерной цепи д и ф ­
Цепь ОС замкнута. Входной импеданс. ференциальной пары также можно было
В схеме использована последовательная бы использовать источник тока; это при­
обратная связь, поэтому входной импе­ вело бы к уменьшению влияния эмиттер-
Обратная связь и операционные усилители 255

НЕКОТОРЫЕ ТИПИЧНЫЕ СХЕМЫ


С ОПЕРАЦИОННЫМИ УСИЛИТЕЛЯМИ

4.28. Лабораторный усилитель общего


назначения

На рис. 4.74 показан «декадный усили­


тель» со связями по постоянному току
с переключением коэффициента усиления,
полосы пропус.кания и с широким диапа­
зоном смещения выхода по постоянному
току. Интегральная схема ИС, представ­
ляет собой неинвертирующий ОУ со вхо­
дом на полевых транзисторах, усиление
изменяется от единицы (0 дБ) до 100
(40 дБ), шаг изменения откалиброван и
равен 10 дБ; для подстройки усиления
предусмотрен верньер. ИС2 - это инвер­
тирующ ий усилитель; он обеспечивает
Рис. 4.73. смещение выходного напряжения в диа­
пазоне ± 10 В; подстройка выполняется
ной цепи и т. п. Но если бы схема и была с помощью резистора R]4, при этом форми­
спроектирована хорошо, обратная связь руется то к, поступ аю щ и й на сум м и ­
все равно заметно улучшила бы ее харак­ рующий вход ИС2. Конденсаторы С, - С4
теристики. устанавливают сопрягающую частоту уси­
ления на высоких частотах, так как часто
Цепь ОС , Цепь ОС нежелательно иметь чересчур широкую
разомкнута замкнута полосу пропускания сигнала (и шумов).
U -10 0 +10 - 10 0 +10 ИС5 представляет собой усилитель мощ­
Z ,кОм 16 16 16 92 92 92 ности для низкоомных нагрузок и кабе­
Z„u>,OM 20 20 20 0,3 0,3 0,3 лей; схема обеспечивает выходной ток в
Коэффициент 1360 2100 2400 30,60 30,84 30,90
усиления диапазоне ± 300 мА.
Некоторые интересные особенности:
Двухкаскадный усилитель с ОС. На рис. входной резистор сопротивлением 10 МОм
4.73 показан еще один транзисторный уси­ нельзя считать большим, так как ток сме­
литель с обратной связью. Если рассмат­ щения для ОУ типа 411 составляет 25 пА
ривать 7j как усилитель, который усили­ (ошибка 0,3 мВ при разомкнутом входе).
вает падение напряжения между базой и Резистор R2 в сочетании с диодами Д
эмиттером (вспомним модель Эберса— и Д ограничивает напряжение на входе
Молла), то видно, что обратная связь пе­ ОУ значениями от £/_ до U ++ 0,7 В. Диод
редает на вход часть выходного напряже­ Д сдвигает напряжение фиксации к U
ния и вычитает его из входного сигнала. + 0,7 В, так как диапазон синфазного
В схеме есть небольшая хитрость: дело в сигнала ограничен значением U_ (если сиг­
том, что коллекторный резистор транзи­ нал выходит за этот предел, то фаза вы­
стора Т, входит еще и в схему обратной ходного сигнала меняется на противопо­
связи. Используя описанную выше тех­ ложную). При использовании показанных
нику расчета, вы можете показать, что на схеме компонентов защиты входной
*разо„к„.цепь ос “ 200- Коэффициент пере- сигнал может изм еняться в диапазоне
дачи цепи обратной связи - 20, ± 150 В, не причиняя схеме вреда.
2ВЫ
Х(разомкн. цепь ОС) ^ О м , Упражнение 4.12. Проверьте, что коэффициент
^ в ы х (замкн. цепь ОС) “ усиления схемы имеет указанное значение. Как
7 (замкн. цепь ОС)
~ QS работает схема переменного сдвига?
256 Глава 4

16 пФ

Рис. 4.74. Лабораторный усилитель постоянного тока с регулируемым усилением,


полосой и сдвигом выходного напряжения.

4.29. Генератор, управляемый


напряжением вой МОП-транзистор, который выполняет
здесь роль переключателя; его использо­
На рис. 4.75 показана схема, заимство­ вать проще, чем схему на биполярных
ванная из рекомендаций по применению транзисторах, выполняю щ ую такие же
ИС нескольких фирм-изготовителей. ИС, функции, но на всякий случай здесь же
представляет собой интегратор, который показана схема с использованием тран­
устроен таким образом, что ток заряда зисторов и-/?-и-типа. И в том и в другом
C ,( i/x/200 кОм) изменяет свой знак, а сл уч ае н и ж н и й к о н е ц р е зи с т о р а /?4
не амплитуду в зависимости от состояния заземлен при высоком уровне напряже­
транзистора Тх (находится в режиме прово­ ния на выходе и разомкнут при низком
димости или отсечки). Схема И С2 обра­ уровне на выходе.
зует три ггер Ш м и тта с п о р о го вы м и Особенность этой схемы состоит в том,
уровням и, равны м и 1 /3 (U + и 2/3U _. что она запитана от единственного ис­
Транзистор Т, - это «-канальный поле­ точни ка полож ительного напряж ения.
Обратная связь и операционные усилители 257

А Л Л /; ::
Треугольный
выходной сигнал

ишг
Прямоугольный
выходной сигнал
и.
Земля

/ = 1 5 0 - ^ Гц

Рис. 4.75. Генератор, управляемый напряжением.

В ИС типа 3160 (отличается от 3130 н а­ нием U+, а только с изменением сопро­


личием внутренней коррекции) в каче­ тивления.
стве выходных использую тся полевые Упражнение 4.13. Покажите, что выходная частота
транзисторы, благодаря которым напря­ определяется выражением /(Гц) = 1501(7Ю/С/+. Заодно
жение на выходе изменяется в пределах проверьте, чему равны пороги в триггере Шмитта и
между потенциалом земли и U+; при этом токи в интеграторе.
пороговые уровни в триггере Ш митта за­
даются точно и не имеют погрешности 4.30. Линейный переключатель
смещения, как это происходит при и с­ на полевом транзисторе
пользовании в ОУ обычного выходного с р-л-переходом, с компенсацией
каскада, у которого пределы изменения
выходного сигнала не заданы точно. В гл. 3 мы более или менее подробно рас­
В приведенной схеме частота и амплиту­ смотрели линейны е переклю чатели на
да треугольного сигнала стабильны. Об­ полевых МОП-транзисторах. В качестве
ратите внимание, что частота зависит от линейных переключателей можно также
отношения U J U+; это значит, что если исп ол ьзовать полевы е тран зи сторы с
напряжение (Тт формируется из напряже­ р-п-переходом. Однако в связи с тем что
ния U+ с помощью резистивного делите­ затвор не должен проводить ток, нужно
ля (образованного, например, некоторым проявлять особое внимание к сигналам,
резистивным преобразователем), то вход­ поступающим на него. Типичная схема
ная частота не будет изменяться с измене­ показана на рис. 4.76. Д ля того чтобы
258 Глава 4

Выход
можно подключить исток транзистора к
мнимому потенциалу земли. Теперь, для
47 кОм того чтобы включить транзистор, доста­
точно сделать напряжение на затворе рав­
+ 15 .— | Вкл. ^ ным потенциалу земли. Еще одно пре­
имущество такой схемы состоит в том, что
1Я_гт
Земля

- 1155 ^ ^ J Выкл.
Т Управление она обеспечивает возможность полного
устранения ошибок, обусловленных ко­
Рис. 4.76. нечной величиной сопротивления Rmt и
его нелинейностью. На рис. 4.77 пред­
транзистор находился в режиме отсечки, ставлена эта схема.
потенциал затвора поддерж ивается на Следует особо отметить два свойства
уровне ниже потенциала земли. Это зна­ этой схемы: (а) Когда транзистор Тх нахо­
чит, что если входной сигнал становится дится в состоянии ВКЛ (затвор заземлен),
отрицательным, потенциал затвора дол­ схема представляет собой инвертор с
жен быть меньше самого отрицательного идентичными импедансами во входной
значения входного сигнала по крайней цепи и в цепи обратной связи. В резуль­
мере на величину Umc. Для того чтобы тате устраняются все эффекты, связанные
транзистор перешел в состояние прово­ с конечны м или н ел и н ей н ы м с о п р о ­
димости, потенциал управляющего входа тивлением транзистора в состоянии ВКЛ,
должен стать более положительным, чем при условии, что полевые транзисторы
самое большое положительное значение согласованы по Лвкл. (б) Вследствие низ­
входного сигнала. При этом диод смеща­ кого значения напряжения отсечки тран­
ется в обратном направлении, а напряже­ зисторов схема хорош о работает, если
ние на затворе устремляется к значению управляющий сигнал лежит в пределах от
напряжения истока через резистор 1 МОм. нуля до +5 В, что как раз соответствует
Эта схема, конечно, нескладна, и может уровням для стандартных цифровых ло­
быть поэтому в линейных преобразователях гических схем (см. гл. 8 и 9). Если исток
чаще используют полевые М ОП-транзи- транзистора Г, подключен к мнимой зем­
сторы. Однако если воспользоваться опе­ ле (суммирующий вход), то инвертирую­
рационным усилителем, то можно сделать щ ая к о н ф и гу р ац и я у п рощ ает работу
красивый линейный переключатель и на схемы, так как в состоянии ВКЛ на ис­
осн ове п олевого т р ан зи сто р а с р -п - ток Тх не поступает никаких сигналов.
переходом. В этом случае на суммирую­ Когда Г, находится в состоянии ВЫКЛ,
щем переходе инвертирующего усилителя диод Д препятствует включению транзи­
стора при положительных входных сигна­
лах. Когда переключатель закрыт, влия­
ние диода Д никак не сказывается.
Существуют ^-канальные полевые тран­
зисторы с р-я-переходом с низкими на­
пряжениями отсечки, удобной конфигу­
рации и с приемлемой ценой. Например,
в семейство IH 5009-IH 5024 входят такие
схемы, в которых в одном транзисторном
корпусе размещ аю тся четыре полевых
транзистора плюс один полевой транзис­
тор для устранени я ош ибок; для них
Rm составляет 100 Ом, а цена не пре­
вышает двух долларов. Подключите еще
Рис. 4.77. Переключатель на полевых транзисторах ОУ и несколько резисторов и вы получи­
с р -л —переходом, использующий в своем составе ОУ, те мультиплексор на 4 входа. Следует от­
с компенсацией R . метить, что подобный трюк с R можно
Обратная связь и операционные усилители 259

проделать и в переключателе на полевых 4.32. Схема измерения тока в нагрузке


МОП-транзисторах.
В схеме, представленной на рис. 4.79,
4.31. Детектор нуля для TTJI-схем выходное напряжение пропорционально
току в нагрузке. Нагрузка используется в
С хема, и зо б р а ж е н н а я н а р и с. 4.78, стабилизаторах тока, измерительных схе­
формирует прямоугольные колебания для мах и в ряде других случаев. Напряжение
логических схем семейства ТТЛ (имеющих на резисторе Д , имеющем 4 вывода, из­
входной диапазон от 0 до + 5 В) из вход­ меняется от нуля до 0,1 В, при этом воз­
ного сигнала переменного тока любой можно появление синфазных помех, обус­
амплитуды, не превышающей 100 В. Р е­ ловленных резистивными эффектами в за­
зистор Д в сочетании с диодами Д и Д землении кабеля (обратите внимание, что
ограничивает размах входного сигнала источник питания на выходе заземлен). По
значениями —0,6 и +5,6 В. Резистивный этой п ри ч и н е ОУ вклю чен как д и ф ­
делитель R2R} нужен для того, чтобы ференциальный усилитель с коэффициен­
ограничить отрицательные значения н а­ том усиления, равным 100. Напряжение
пряжения на уровне —0,3 В, к ак это сдвига регулируется с помощью внешнего
требуется для ком паратора ти па 393. резистора Re, так как в ОУ типа LT1013
Резисторы Rs и Rb определяют ш ирину нет внутренней схемы регулировки сдвига
петли гистерезиса, а резистор Л4 необ­ (в ОУ типа LT1006 такая схема есть). Для
ходим для установки порогов срабаты­ регулировки можно использовать стаби­
вания триггера симметрично относитель­ литрон, который обеспечивает стабиль­
но земли. Входное сопротивление с о ­ ность эталонного напряж ения порядка
храняет почти постоянное значение, так нескольких процентов, так как при н а­
как величина сопротивления Д велика стройке схемы нужна небольшая коррек­
по сравнению с сопротивлениями дру­ ция напряжения (по крайней мере, на это
гих резисторов входного делителя. И н ­ надо рассчитывать). Для работы с одним
тегральная схема типа 393 использована источником был выбран ОУ типа 358, так
потому, что на ее входах напряж ение как на его входах и выходе напряжение
может изменяться вплоть до потенциала может изменяться до потенциала земли.
зе м л и , а это п о з в о л я е т р а б о т а т ь Напряжение U+ может быть нестабили-
с одним источником питания. зи рованн ы м , величина коэф ф и ц и ен та
Упражнение 4.14. Убедитесь в том, что срабатыва­ ослабления влияния напряжения источни­
ние триггера Шмитта происходит на уровнях +25 мВ. ка питания (КОНП ) более чем достаточна
Защита Центрирование гистерезиса

Рис. 4.78. Детектор нулевого уровня с защитой входа.


260 Глава 4

Рис. 4.79. Усилитель для измерения тока в цепях большой мощности.

и составляет в данном случае 100 дБ усилителю типа 741, но не скорректирован


(типичное значение). (как и ОУ типа 739). В операционных уси­
лителях бывает предусмотрена внутренняя
ЧАСТОТНАЯ КОРРЕКЦИЯ коррекция, кроме того, промышленность
УСИЛИТЕЛЕЙ С ОБРАТНОЙ СВЯЗЬЮ выпускает и нескорректированные ОУ;
познакомимся с некоторыми методами
Если попытаться графически изобразить частотной коррекции.
зависимость коэффициента усиления по
напряжению при разомкнутой петле обрат­ 4.33. Зависимость коэффициента усиления
ной связи от частоты для нескольких опе­ и фазового сдвига от частоты
рационных усилителей, то получим кри­
вые, подобные показанным на рис. 4.80. В операционном усилителе (а в общем, в
Даже поверхностный взгляд на представ­ любом многокаскадном усилителе), начи­
ленные диаграммы Боде (кривые зависи­ ная с некоторой частоты, наблюдается спад
мости коэффициента усиления и фазы коэффициента усиления, обусловленный
от частоты с использованием логарифми­ тем, что усилительный каскад для сигна­
ческого масштаба) позволяет сделать зак­ лов, поступающих от источника, имею­
лючение, что операционный усилитель щего конечный импеданс, является ем­
типа 741 хуже остальных, так как с уве­ костной нагрузкой, и, таким образом,
личением частоты его коэффициент уси­ каскад эквивалентен фильтру низких час­
ления при разомкнутой цепи обратной тот. Н априм ер, часто входной каскад
связи уменьшается очень быстро. На са­ представляет собой дифференциальный
мом деле такой спад усиления получают усилитель с нагрузкой в виде токового
намеренно, и характеристика операци­ зеркала (см. схему LM358, изображенную
онного усилителя совпадает с характерис­ на рис. 4.54), который работает на второй
тикой ЛС-фильтра низких частот, имеющей каскад, представляющий собой схему с
спад - 6 дБ/октава. Операционный уси­ общим эмиттером. Теперь допустим, что
литель типа 748 подобен операционному конденсатор, обозначенный на схеме как
Обратная связь и операционные усилители 261

Частота, Гц

Рис. 4.80.

Ск, исключен. Высокий выходной импе­ рис. 4.81. Спад коэффициента усиления
данс входного каскада, а также емкость при разомкнутой петле ОС определяется
перехода Свх э и емкость обратной связи величиной —6 дБ/октава и начинается на
С , (вспомните эффект Миллера, разд. 2.19 некоторой сравнительно невысокой час­
и 13.04) последующего каскада образуют тоте f v Он обусловлен емкостным харак­
фильтр низкой частоты. Точка - 3 дБ на тером нагрузки выхода первого каскада.
характеристике этого фильтра лежит в Спад с таким углом наклона продолжа­
диапазоне от 100 Гц до 10 кГц. ется до тех пор, пока на частоте / 2 не нач­
Уменьшение реактивного сопротивле­ нет проявлять себя собственная ЛС-цепь
ния конденсатора при увеличении часто­ следующего каскада. Начиная с этой точ­
ты вызывает появление спада характери­ ки, спад определяется величиной — 12 д Б /
стики с наклоном 6 дБ/октава. На доста­ окгава и т. д.
точно высоких частотах (которые могут не Что же следует из такой характеристи­
превышать 1 кГц) импеданс коллекторной ки? Напомним, что для ЛС-фильтра низ­
нагрузки имеет емкостной характер, в связи кой частоты зависимость сдвига фазы от
с этим Ки = дтХс, т. е. спад усиления частоты имеет вид кривой, показанной на
пропорционален 1 // Кроме того, выход­ рис. 4.82. Каждый фильтр низкой часто­
ной сигнал будет сдвинут по фазе на 90° ты, присутствующий в усилителе, имеет
относительно входного. (Спаду усиления подобную фазовую характеристику, поэто­
соответствует нижний участок («хвост») му полный фазовый сдвиг гипотетичес­
характеристики ЛС-фильтра низкой час­ кого усилителя можно представить в виде
тоты, в котором сопротивление R есть кривой, изображенной на рис. 4.83.
эквивалентное выходное сопротивление Проблема заключается в следующем:
источника, к которому подключена ем ­ если этот усилитель включить, например,
костная нагрузка. Однако в схеме не обя­ по схеме повторителя, то возникнут ав­
зательно должны присутствовать реальные токолебания. Это связано с тем, что на
резисторы.) некоторой частоте фазовый сдвиг при ра­
В многокаскадном усилителе на высо­ зомкнутой петле обратной связи достига­
ких частотах на характеристике усилителя ет 180°, при этом коэффициент усиления
появляются дополнительные точки пере­ еще превышает единицу (на этой частоте
гиба, обусловленные тем, что другие уси­ отрицательная обратная связь превра­
лительные каскады также начинают про­ щается в положительную). Этого доста­
являть свойства фильтров низкой часто­ точно для того, чтобы возникла автогене­
ты. Зависимость коэффициента усиления рация колебаний, так как на этой частоте
всей многокаскадной схемы при разомк­ любой сигнал будет сам себя наращивать,
нутой цепи ОС от частоты показана на проходя по петле обратной связи.
262 Глава 4

Рис. 4.82. Диаграмма Боде: зависимость коэффициента усиления и фазы от частоты.

Критерий устойчивости. Критерий устой­ зоне от 1 до 20 1 ц. Покажем, как этого


чивости усилителя с обратной связью добиваются.
выглядит следующим образом: фазовый
сдвиг усилителя при разомкнутой петле 4.34. Методы коррекции усилителей
обратной связи не должен превышать 180°
на частоте, при которой коэффициент пе­ Однополюсная коррекция. К оррекц и я
редачи цепи обратной связи равен едини­ должна обеспечить, чтобы на всех часто­
це. Этот критерий трудней всего удовле­ тах, на которых коэффициент передачи
творить, когда усилитель включен как цепи обратной связи превышает единицу,
повторитель, так как при этом коэф ф и­ фазовый сдвиг при разомкнутой петле об­
циент передачи в петле обратной связи ратной связи был меньше 180° (операци­
равен коэффициенту усиления при разом­ онный усилитель можно использовать как
кнутой петле обратной связи, т. е. наи­ повторитель, поэтому вместо петлевого
большему значению . В операционном усиления можно говорить о коэффициен­
усилителе с внутренней коррекцией кри­ те усиления при разомкнутой петле об­
терий устойчивости удовлетворяется даже ратной связи). Для достижения этой цели
в том случае, когда эти усилители вклю­ проще всего включить в схему достаточ­
чают по схеме повторителей; в них с по­ ную емкость, с помощью которой задать
мощью простой резистивной схемы обрат­ со п р я га ю щ у ю ч а с т о т у и н а к л о н
ной связи можно получить любое значе­ характеристики, равный — 6 дБ/октава.
ние коэффициента усиления при замкну­ При этом коэффициент усиления при ра­
той цепи обратной связи, при этом они зомкнутой петле обратной связи должен
будут работать устойчиво и в них не снизиться до единицы на частоте, при­
будут возникать колебания. Мы уже упо­ близительно соответствующ ей точке —
мянули выше, что для этого намеренно 3 дБ на х ар ак тер и сти к е следую щ его
смещают начало спада усиления таким «естественного» /?С-фильтра. За счет это­
образом, чтобы точка — 3 дБ лежала в го в большей части полосы пропускания
области низких частот — обычно в диапа- фазовый сдвиг при разомкнутой цепи об­
ратной связи будет равен 90° и начнет
приближаться к 180° только тогда, когда
коэффициент усиления станет равным еди­
нице. Замысел иллюстрируется рис. 4.84.
Без коррекции коэф ф ициент усиления
при разомкнутой цепи обратной связи
снижается, стремясь к единице, при этом
вначале наклон характеристики равен —
6 дБ/октава, затем — 12 дБ/октава и т. д.
В результате, прежде чем коэффициент
усиления станет равным единице, фазо­
вый сдвиг будет составлять 180° или бо­
лее. Если сместить начало первого спада
Рис. 4.83. характеристики в область более низких
Обратная связь и операционные усилители 263

частот (сформировать «вершину» харак­ запас по фазе равен 180° - (90° + 45°),
теристики усилителя), то фазовый сдвиг причем сдвиг фазы на 90° будет почти на
превысит 90°, только когда коэффициент всем участке характеристики после глав­
усиления разомкнутой петли обратной ного полюса.
связи приблизится к единице. Таким об­ Коррекция, основанная на использова­
разом, проиграв в усилении, можно вы­ нии эффекта Миллера, имеет то досто­
играть в устойчивости. У каскада, на ко­ инство, что она нечувствительна к измене­
торый нагружен дифференциальный уси­ ниям коэффициента усиления по напря­
литель, «естественная» сопрягающая час­ жению с температурой или к технологи­
тота обычно связана с эффектом Милле­ ческому разбросу значений коэффициента
ра, поэтому коррекция характеристики усиления: чем больше коэффициент усиле­
заключается просто в подключении до­ ния, тем в большей степени проявляется
полнительной емкости обратной связи к емкость обратной связи и тем сильнее сме­
транзистору второго каскада, при этом щается характеристика в область низких
общий коэффициент усиления двух кас­ частот, а частота, соответствующая единич­
кадов по напряжению равен gmXc или ному усилению, сохраняется неизменной.
g J 2 n / Скорр в диапазоне спада частотной При этом частота, соответствующая точке —
характеристики усилителя (рис. 4.85). На 3 дБ, начиная с которой действует коррек­
практике в обоих каскадах можно и с­ ция, не сохраняется постоянной, а факти­
пользовать транзисторы, соединенные по чески фиксируется частота, в которой ха­
схеме Дарлингтона. рактеристика пересекает ось единичного
Если сместить характеристику так, что­ усиления (рис. 4.86).
бы коэффициенту усиления, равному еди­ Нескорректированные операционные
нице, соответствовала точка — 3 дБ на­ усилители. Если операционный усилитель
чала второго участка спада усиления используется в схеме, для которой коэф ­
(-1 2 дБ/октава), то в худшем случае (для фициент усиления при замкнутой петле
схемы повторителя) запас по фазе будет обратной связи больше единицы (не по­
равен 45°, так как на частоте, соответству­ вторитель), то нет необходимости столь
ющей усилению - 3 дБ, ЛС-фильтр вно­ сильно смещать вершину характеристики
сит сдвиг по фазе на 45°. Следовательно, (соответствующую «граничной» частоте
264 Глава 4

нутой цепи обратной связи больше и уси­


литель имеет большую полосу пропус­
кания. Промышленность выпускает опе­
рационные усилители как в скорректиро­
ванном, так и в нескорректированном
варианте (например, ОУ типа 748 —это не­
скорректированный ОУ типа 741, то же
самое можно сказать о следующих типах
ОУ: 308(312), 3130(3160), НА5102(НА5112)
и т. п.); для нескорректированных опера­
ционных усилителей даются рекоменда­
ции по выбору емкостей внешних конден­
фильтра низкой частоты) в область низ­ саторов в зависимости от значений коэф ­
ких частот, так как критерий устойчивос­ фициента усиления при замкнутой цепи
ти в этом случае выполнить легче из-за обратной связи. Этими рекомендациями
меньшей величины коэффициента пере­ полезно воспользоваться в тех случаях,
дачи обратной связи (рис. 4.87). когда нужно расширить полосу пропуска­
Если коэффициент усиления при замк­ н ия и обеспечить больш ое усиление.
нутой цепи обратной связи равен 30 дБ, Можно также использовать «подкорректи­
то коэффициент передачи петли обратной рованные» ОУ, например типа 357, в ко­
связи (равный отношению коэффициен­ торых обеспечена внутренняя коррекция
та усиления при разомкнутой цепи обрат­ для значений коэффициента усиления при
ной связи к коэффициенту усиления при замкнутой цепи обратной связи, превы­
замкнутой цепи обратной связи) для та­ шающих некоторы й минимум (К и > 5
кой схемы меньше, чем для повторителя, для ОУ типа 357).
поэтому вершина характеристики может Коррекция по типу «полюс-нуль» ха­
располагаться в области более высоких рактеристики. Иногда лучший результат,
частот. В этом случае делают так, чтобы чем при однополюсной коррекции харак­
коэффициент усиления усилителя при теристики, мож но получить, если и с­
разомкнутой петле обратной связи дости­ пользовать схему коррекции, которая сна­
гал 30 дБ (а не 0 дБ) на частоте, соответ­ чала обеспечит спад усиления (наклон
ствующей следующей точке перегиба ха­ - 6 дБ/октава после полюса характерис­
рактеристики операционного усилителя. тики), а затем, начиная с некоторой час­
Как видно из графика, это означает, что тоты, ровную характеристику (нуль харак­
в большей части диапазона частот вели­ теристики) выше частоты, соответствую­
чина коэффициента усиления при разомк- щей второй точке излома «собственной»
характеристики операционного усилите­
2 ля. При таком способе коррекции вторая
точка излома характеристики тем самым
устраняется и до третьей точки излома
происходит плавный спад усиления с на­
клоном - 6 дБ/октава. Частотная харак­
теристика приведена на рис. 4.88. На
практике начало нулевого угла наклона
задают таким образом, чтобы устранить
вторую точку излома характеристики уси­
лителя, а положение первой точки излома
устанавливают таким, чтобы полный от­
клик схемы определялся единичным ко­
эффициентом усиления на частоте соот­
ветствующей третьей точке излома. В тех­
нических характеристиках усилителей
Обратная связь и операционные усилители 265

мер, в случае дифференциатора или ин­


тегратора) или цепи обратной связи для
повышения запаса устойчивости схемы.
Запомните, что в таких случаях следует
использовать диаграммы Боде для коэффи­
циента передачи всей петли обратной свя­
зи, а не граф ики и зм енения к о эф ф и ­
циента усиления усилителя при разомкнутой
цепи обратной связи. Коротко говоря, в
идеальном случае кривая зависимости
коэффициента усиления при замкнутой
цепи обратной связи от частоты должна
обычно можно найти рекомендации по пересекать кривую зависимости коэф ф и­
выбору компонентов К и С для коррек­ циента усиления при разомкнутой цепи
ции по методу «полюс—нуль» наряду с ре­ обратной связи под углом 6 дБ/октава.
комендациями по выбору конденсаторов Чтобы этого достичь, очень часто, напри­
для однополюсной коррекции. мер, в обычных инвертирующих и неин­
Как вы узнаете из разд. 13.06, слиш ­ вертирующих усилителях, параллельно
ком большое смещение низкочастотного резистору обратной связи подключают
полюса в область низких частот приводит небольш ой конденсатор (емкостью н е­
к тому, что второй полюс оказывается сколько пикофарад). На рис. 4.89 дан при­
лежащим в области более высоких частот, мер такой схемы и соответствующей диа­
чем нуль характеристики. Это явление граммы Боде.
называют «расщеплением точек излома». Если бы частотная характеристика схе­
Частоту нуля выбирают с учетом этого мы с обратной связью была горизонталь­
явления. ной, то усилитель находился бы на грани
неустойчивости, так как в точке пересе­
4.35. Частотная характеристика цепи чения кривых их взаимный наклон со­
обратной связи ставлял бы 12 дБ/октава. Конденсатор
обеспечивает пересечение кривых под уг­
Мы предполагали до сих пор, что цепь лом 6 дБ/октава и гарантирует тем самым
обратной связи имеет плоскую частотную устойчивость схемы. Особенно важно не
характеристику; это предположение вер­ забывать об этом при разработке диффе­
но в тех случаях, когда в качестве цепи ренциаторов, так как в идеальном диф ­
обратной связи используется резистивный ференциаторе коэффициент усиления при
делитель напряжения. Однако иногда воз­ замкнутой цепи обратной связи нарастает
никает необходимость в модификации ча­ с подъемом 6 дБ/октава; на некоторой
стотной характеристики усилителя (напри­ промежуточной частоте необходимо осла­

а
Рис. 4.89.
266 Глава 4

бить дифференцирующие свойства схемы, коэффициент усиления больше единицы.


а на высоких частотах обеспечить спад уси­ Например, для получившего широкое рас­
ления с наклоном — 6 дБ/октава. Интег­ пространение прецизионного ОУ типа
раторы в этом отношении не создают ни­ ОР-27 с низким уровнем шума (схема скор­
каких проблем, так как сами по себе обес­ ректирована для единичного усиления)
печивают спад усиления - 6 дБ/октава. существует два «подкорректированных»
Нужно очень постараться, чтобы вызвать варианта схемы - это ОУ типа ОР-37
автоколебания в интеграторе! (минимальны й коэф ф ициент усиления
Чему отдать предпочтение? Обычно при­ равен 5), в 7 раз более быстродействую­
ходится выбирать между операционным щий, чем скорректированный, и ОУ типа
усилителем с внутренней коррекцией и без НА-5147 (минимальный коэффициент уси­
коррекции. Проще использовать скор­ ления равен 10), в 15 раз более быст­
ректированные усилители, обычно их и родействующий.
выбирают. Прежде всего остановите свое Пример: источник питания переменного
внимание на ОУ типа LF411 с внутрен­ тока частотой 60 Гц. Нескорректирован­
ней коррекцией. Если вам нужна более ные ОУ предоставляют возможность так
широкая полоса пропускания и большая изменять схемы коррекции, что легко раз­
скорость нарастания, то можно подобрать решается проблема, создаваемая дополни­
более быстродействующий скорректиро­ тельными фазовыми сдвигами, возника­
ванный ОУ (см. табл. 4.1 или 7.3). Если ющими за счет побочных элементов цепи
ничего не удается подобрать и коэффи­ обратной связи. Н а рис. 4.90 показан
циент усиления при замкнутой цепи об­ наглядный пример. Это усилитель низкой
ратной связи превышает единицу (как чаще частоты, предназначенный для получения
всего и бывает), то можно использовать напряжения переменною тока с ампли­
нескорректированный усилитель с вне­ тудой 115 В из синусоидального входно­
шним конденсатором, рекомендуемым для го сигнала частотой 60 Гц (получаемого с
вашего значения коэффициента усиления. помощью схемы, описанной в разд. 8.31).
Некоторые усилители предоставляют Операционный усилитель вместе с резис­
другую возможность: «подкорректиро­ торами R2 и R3 образует усилитель напря­
ванная» схема, не требующая никаких жения, имеющий 100-кратное усиление;
внешних элементов коррекции, может далее он и спользуется как усилитель
быть использована в схемах, для которых с относительно небольшим коэффициен-
+ 16 (нестабилизир.)

Ф иксированное сопро­
А *5
47 0м
тивление У 2 Вт
1,8 кОм + перем. со-
У Тг
противление 1 кОМ 2N3725 115 В
п е р е м .то к
Трансформатор 15 Вт
6,3 В 3 А

Рис. 4.90. Выходной усилитель источника питания с частотой 50 Гц.


Обратная связь и операционные усилители 267

том «разомкнутого» усиления, когда вся


схема охватывается общей петлей обрат­
ной связи. Выход ОУ управляет двухтакт­
ным выходным каскадом, нагруженным
на первичную обмотку трансформатора.
Цепь общей низкочастотной обратной свя­
зи подключается к выходу трансформато­
ра через резистор R ]0 и обеспечивает
получение небольших искажений и ста­
бильного выходного напряжения при из­
менениях тока в нагрузке. Наличие очень
больших фазовых сдвигов в трансформа­
торе на высоких частотах приводит к не­
обходимости введения на высоких часто­ 1__________1____________I_____________I
тах дополнительной цепи обратной связи 0 5 10 15
Мощность, Вт
через конденсатор С3, подключенный к
низковольтной обмотке трансформатора. Рис. 4.91.
Резисторы Ry и Л10 выбраны так, чтобы
согласовать глубину обратной связи на ными электродвигателями телескопа (ко­
всех частотах. Несмотря на то что высо­ торые представляю т собой в ы сокои н ­
кочастотная цепь ОС подключена непо­ дуктивную нагрузку), коэффициент пере­
средственно к выходу двухтактного кас­ дачи петли ОС специально сделан неболь­
када, все равно имеют место фазовые шим. Зависимость выходного напряжения
сдвиги, обусловленные реактивной н а­ переменного тока от нагрузки представ­
грузкой (первичная обмотка трансформа­ лена на рис. 4.91. Судя по кривой, схема
тора) на выходные транзисторы. Для того обеспечивает хорошую (но не слишком
чтобы схема обладала достаточной устой­ высокую) стабилизацию напряжения.
чивостью даже при наличии реактивных Низкочастотные автоколебания. В уси­
нагрузок выхода с напряжением 115 В, лителях с обратной связью, имеющих меж-
в ОУ выполнена избыточная коррекция с каскадные связи по переменному току,
помощью конденсатора емкостью 82 пФ проблемы обеспечения устойчивости мо­
(для коррекции по единичному коэф ф и­ гут возникать и на самых низких часто­
циенту усиления достаточно иметь 30 пФ), тах. Они бывают связаны с накоплением
а связан ное с этим суж ение полосы опережающего фазового сдвига, который
пропускания не имеет значения — схема может возникнуть в усилителе, состоящем
используется на низких частотах. из нескольких каскадов, соединенны х
Представленная схема является приме­ между собой через конденсаторы. Каж­
ром компромиссного решения, так как в дый блокирующий конденсатор в сочета­
идеальном случае желательно иметь как нии с входным сопротивлением, обуслов­
можно большее петлевое усиление для ленным цепями смещения и другими по­
обеспечения стабильного выходного н а­ добными элементами схемы усилителя,
пряжения, устойчивого к изменениям тока создает опережающий фазовый сдвиг на
в нагрузке. Однако большое петлевое уси­ низкочастотном участке характеристики,
ление повышает тенденцию усилителя к который на частоте, соответствующей точ­
автоколебаниям, особенно в случае ис­ ке — 3 дБ, равен 45°, а на более низких
пользования реактивной нагрузки. Это частотах приближается к 90°. Если цепь
связано с тем, что реактивная нагрузка ОС обладает достаточным коэффициен­
в сочетании с конечным выходным им ­ том передачи, то в схеме могут возник­
педансом трансформатора вызывает до­ нуть низкочастотные колебания, которые
полнительный фазовый сдвиг в петле низ­ иногда называют шумом моторной лод­
кочастотной ОС. Так как данная схема ки —«motorboating». В настоящее время,
предназначена для управления синхрон- когда при необходимости всегда можно
268 Глава 4

411) и (б) /си = 80 нА (типичное значение для ОУ типа


10 кОм 741). Подумайте о том, какие ограничения следует вве­
сти, чтобы предохранить прибор от повреждений (на­
пример, можно ограничить величину тока, чтобы она не
превышала 200% полного размаха шкалы) и защитите
(схема входы усилителя от напряжений, которые выходят за
не имеет пределы питающих напряжений. Как вы думаете: подой­
выхода) дет ли для высокоимпедансных измерений сигналов низ­
Вход
кого уровня ОУ типа 741?
Конвертер
отри цат. (2) Разработайте схему усилителя звуковых частот на
сопротив­ основе ОУ типа ОР-27 (имеет низкий уровень шумов,
ления рекомендуется для использования в усилителях звуко­
Земля вых частот). Усилитель должен иметь следующие ха­
рактеристики: К = 20 дБ, = 10 кОм, точка — 3 дБ
соответствует частоте 20 Гц. Используйте неинвер­
Рис. 4.92. Р ис. 4.93. тирующую схему, предусмотрите, чтобы спад усиления
на низких частотах ослаблял влияние входного напряже­
использовать усилители со связями по по­ ния сдвига. Разработайте схему с учетом того, что вли­
стоянному току, низкочастотные автоко­ яние входного тока смешения на выходной сдвиг долж­
лебания почти никогда на практике не но быть минимальным. Учтите, что источник сигнала
возникают. Тот, кто занимается электро­ подключен через конденсатор.
(3) Разработайте схему расщепления фаз (фазоинвер-
никой давно, наверняка сталкивался с тора) с единичным коэффициентом усиления (см.
этими явлениями в прошлом. гл. 2) на основе ОУ типа 411. Схема должна иметь
высокое входное и низкое выходное сопротивления.
СХЕМЫ, НЕ ТРЕБУЮЩИЕ ПОЯСНЕНИЙ Учитывая ограничения по скорости нарастания, оцените
грубо максимальную частоту, на которой можно полу­
чить полный размах сигнала (27 В от пика до пика при
4.36. Некоторые полезные идеи использовании напряжения питания ±15 В).
(4) Усилители мощности звуковых частот El Cheapo
На рис. 4.94 показано несколько инте­ на верхних частотах возбуждаются из-за начинающего­
ресных и удачных схем, заимствованных ся с частоты 2 кГц (точка + 3 дБ на характеристике)
нарастания усиления + 6 дБ/октава. Разработайте
в основном из технической документации простой ЛС-фильтр, который можно было бы вклю­
фирм-изготовителей. чить между предусилителем и усилителем для ком­
пенсации возбуждения. При необходимости ЯС-фильтр
4.37. Негодные схемы можно построить на ОУ типа AD61I (еще одна
интегральная схема, рекомендуемая для использования
в звуковом диапазоне частот). Для предусилителя
На рис. 4.95 представлена целая коллекция ZlbK= 50 кОм, а для усилителя Zbii = 10 кОм.
схем, содержащих заведомые ош ибки. (5) Операционный усилитель типа 741 используется в
Пусть они немного вас позабавят и предо­ качестве простого компаратора с одним заземленным
стерегут от возможных промахов в работе. входом, т. е. в качестве детектора нулевого уровня. На
Среди них есть несколько настоящих чу­ второй вход поступает синусоидальный сигнал с ампли­
тудой 1 В (частота 1 кГц). Чему равно напряжение на
дищ. Можно гарантировать, что они ни­ входе, когда напряжение на выходе проходит через уро­
когда не будут работать. Разберитесь по­ вень 0 В? Предположите, что скорость нарастания со­
чему. Во всех операционных усилителях ставляет 0,5 В/мкс, а напряжение насыщения выхода
используются источники питания ± 15 В; равно ±13 В.
если используются другие напряжения (6) На рис. 4.92 дан пример схемы преобразователя отри­
цательного сопротивления, (а) Чему равно ее входное со­
питания, то они указаны на схемах. противление? (б) Диапазон выходного напряжения огра­
ничен значениями С/+ и U_. Каков диапазон входного на­
ДОПОЛНИТЕЛЬНЫЕ УПРАЖНЕНИЯ пряжения, в котором схема работает без насыщения?
(7) Представьте только что рассмотренную схему в виде
(1) Разработайте схему «чувствительного вольтмет­ эквивалентного «черного ящика» с двумя выводами
ра». Он должен иметь Z„ = 1 МОм и в четырех (рис. 4.93). Как с помощью этого двухполюсника пост­
диапазонах обеспечивать чувствительность от 10 мВ роить усилитель постоянного тока с коэффициентом уси­
до 10 В. Используйте измерительный прибор с разма­ ления —10? Почему нельзя сделать усилитель постоянно­
хом шкалы 1 мА и операционный усилитель. Если го тока с коэффициентом усиления +10? (Подсказка: в
потребуется, отрегулируйте сдвиги напряжения. Под­ определенном диапазоне сопротивлений источника схе­
считайте показания прибора при разомкнутом входе, ма работает как «защелка». Чему равен этот диапазон?
если: (а) I = 25 пА (типичное значение для ОУ типа Какие меры могут, на наш взгляд, здесь помочь?)
Удачные схемы

Рис. 4.94. а - прецизионный источник тока с использованием палевого МОП-транзистора; 6 — инвертирующий


усилитель с ломаной характеристикой; коэффициент усиления К увеличивается для входных сигналов,
превышающих пороговое значение; в формулах пороговых значений предполагается, что U = 0; пороговое
напряжение должно бьггь > 0,5 В; в — аналогично б, но коэффициент усиления уменьшается для выходных
напряжений выше порогового значения; г — аналогично в, но с компаратором и переключателем; работает как
«совершенный» диод ( t/ =0);
L 4
ивх 1 МОм 1 ■
+
Компара­ к
тор
1 ' _ Выход
xLM 393
6 1” > 1 г ^ 1 0 мкФ
Г у»

10 кОм 1%

ОД Ом 1%
Нагрузка 4,7 МОм
100 0м
П_
1%
п 10 кОм LF411

VP01
а
(1В/ Д)

f
1 кОм 1%

OP-97 2N 5457

_ г
♦ ------- [ ^ 2 N 4401
10 кОм П

1
Рис. 4.94 (продолжение).
д — благодаря дополнительным ОУ выходной ток увеличен; следите, чтобы не было перегрева; е — токовый
монитор; ж - прецизионная схема, поглощающая ток; з — пиковый детектор для отрицательных импульсов;
и - схема с переменным коэффициентом усиления; к — усилитель с фотодиодом; л — схема управления током;
l/lux( макс.)'
= 130 В
(от пика
до пика).

Сервомотор
±200 мА

0 Ом

Выход

Рис. 4.94 (продолжение).


м - прецизионный биполярный источник тока; н —активный соленоид; о —оригинальный повторитель на полевом
транзисторе с 3 выводами; п —логарифмический преобразователь с температурной компенсацией; р — высоко­
вольтная (мостовая) схема для плавающей нагрузки; с - сервоусилитель 0,2 А;
m

+15

Рис. 4.94 (продолжение).


/«-логарифмический преобразователь с широким входным диапазоном и автоматическим обнулением на
основе усилителя прерывателя; у - источник тока; ф - быстродействующий логарифмический преобразователь;
х —усилитель с переменным усилением с небольшими искажениями;
Рис. 4.94 (продолжение).
ц - биполярный прецизионный ограничитель; ч —высоковольтный ОУ с низким уровнем шума (техническая
документация PMI, примеч. по использованию 106); ш - получение удвоенного выходного сигнала в схеме на
основе сдвоенного ОУ; «( —быстродействующий пиковый детектор; э —усилитель для наушников со слабым
искажением (техническая документация PMI, примеч. по использованию 106); ю —детектор нуля с одним
источником питания;
Рис. 4.94 (продолжение).
я - источник тока Хауленда для управляющих схем преобразования напряжений в ток (от 1 мкА до 1 мА);
А - управляемый напряжением усилитель-преобразователь с линеаризованной характеристикой; Б - управ­
ляемый напряжением (переменного тока) нагрузочный резистор; В - управляемый напряжением генератор на
основе усилителя-преобразователя.
Неудачны е схемы

Рис. 4.95.
а - регулируемый ограничитель; б — выходной каскад на ОУ для усилителя звуковых частот со 100-кратным
усилением; в —генератор треугольного сигнала; г —источник тока (программируемый напряжением); д - источник
тока на 200 мА; е — усилитель постоянного тока (100-кратный);
9В ■15 В +5 В

15 кОм

Вход
+5 В

110 В —
перем.
тока J - Ц - 60 Гц

Рис. 4.95 (продолжение).


ж —усилитель звуковых частот со 100-кратным усилением и однополярным питанием; з — стабилизатор на 15 В;
и - операционный усилитель в качестве стабилизатора +15 В; к —триггер Шмитта; л - 10-кратный усилитель со
связями по переменному току; м —усилитель постоянного тока с перестраиваемым усилением (х10 —х 100);
н - детектор нуля;
— д£

Сброс

Рис. 4.95 (продолжение).


о - операционные усилители с переключателями; п —управление коэффициентом усиления с помощью
полевого транзистора; р — источник тока; с — интегратор со сбросом.
ГЛАВА 5
АКТИВНЫЕ ФИЛЬТРЫ
И ГЕНЕРАТОРЫ

Весьма интересный класс линейных (т. е. пологие «склоны» с наклоном 6 дБ /окта­


нецифровых) схем можно изучать, зная ва. Д ля многих целей такие ф ильтры
только транзисторы и ОУ. Это позволит вполне подходят, особенно в тех случаях,
читателю усвоить ряд трудных моментов когда сигнал, который должен быть по­
(а именно: нюансы поведения транзис­ давлен, далеко сдвинут по частоте отно­
торов, обратную связь, огран и чен и я, сительно желательной полосы пропуска­
свойственные ОУ и др.), перед тем как ния. В качестве примеров можно указать
приступить к описанию новых устройств шунтирование радиочастотных сигналов
и методик проектирования в обширной в схемах усиления звуковых частот, «бло­
области цифровой схемотехники. С этой кирующие» конденсаторы для исключе­
целью в данной главе кратко рассмотрим ния постоянной составляющей и разде­
активные фильтры и генераторы. Другие ление модулирующей и несущей частот
аналоговые устройства будут рассмотре­ (см. гл. 13).
ны в гл. 6 (стабилизаторы напряжения и
сильноточные устройства), гл. 7 (пре­ 5.01. Частотная характеристика
цизионные и малошумящие схемы), гл. ЯС-фильтров
13 (радиочастотная техника), гл. 14 (про­
ектирование маломощных схем) и гл. 15 Однако часто возникает необходимость
(измерения и обработка сигналов). В пер­ в фильтрах с более плоским участком
вой части этой главы описывается специ­ характеристики в полосе пропускания и
ализированная аппаратура (акти вн ы е более крутыми склонами. Такая потреб­
фильтры, разд. 5.01—5.11), и при первом ность существует всегда, когда надо от­
чтении эту часть можно опустить. Однако фильтровать сигнал от близкой по часто­
вторую часть этой главы (генераторы, те помехи. Немедленно возникает сле­
разд. 5.12-5.19), в которой описывается дующий очевидный вопрос: можно ли
аппаратура с широкой областью приме­ (соединяя каскадно одинаковые фильтры,
нения, опускать не следует. скажем, нижних частот), получить аппрок­
симацию идеальной характеристики филь­
АКТИВНЫЕ ФИЛЬТРЫ тра нижних частот типа «кирпичная сте­
на», как это показано на рис. 5.1.
В гл. 1 мы уже начали рассматривать Мы знаем, что простое каскадное со­
фильтры, состоящие из резисторов и кон­ единение не дает результата без ухудше­
денсаторов. Эти простые ЛС-фильтры ния общей характеристики, так как вход­
верхних или нижних частот обеспечивают ное сопротивление каждого звена будет
пологие характеристики коэффициента
передачи с наклоном 6 дБ/октава после
точки, соответствующей значению коэф ­
фициента передачи - 3 дБ. Было также s 1
п о к азан о , к ак п о стр о и ть п о л о со в о й N2
фильтр, соединяя каскадно фильтры верх­
них и нижних частот; при этом характе­
ристики такого фильтра опять же имеют Рис. 5.1.
Активные фильтры и генераторы 279

служить существенной нагрузкой для пре­


дыдущего звена. Но если поставить бу­
феры между всеми звеньями (или сделать
полное входное сопротивление каждого
звена намного выше, чем у предыдущего)
то, казалось бы, можно добиться желае­
мого эффекта. Тем не менее ответ на по­
ставленны й вопрос будет отрицатель­
ным. Соединенные каскадно /?С-фильтры
действительно дадут суммарную характе­
ристику с крутым наклоном, но «излом»
этой амплитудно-частотной характерис­
тики не будет резким. Это можно сфор­
мулировать так: из многих плавных пере­
гибов не сделать одного крутого. Чтобы
проиллюстрировать этот вывод, постро­
им несколько графиков частотных харак­
теристик коэффициента усиления (т. е.
1,0 U _ / U J фильтров ниж них частот, со ­
3 0,9 ставленных из 1, 2, 4, 8, 16 и 32 идентич­
ГаО 0,8 ных, полностью развязанных буферными
X 0,7 усилителями /?С-звеньев (рис. 5.2).
и
S
Cl 0,6 На первом графике показан эффект от
£ 0,5 каскадного соединения нескольких RC-
Г
О О
гXо. 0,4 звеньев, у каждого из которых точка,
соответствующая значению - 3 дБ, нахо­
гкXо 0,3
дится на единичной частоте. По мере
0,2
S 1 добавления новых секций точка — 3 дБ
е
с£; 0,1 суммарной характеристики сдвигается в
< сторону низких частот, что легко было
Частота, Гц предсказать. Чтобы сравнение характе­
ристик фильтров было корректным, надо
таким образом согласовать частоты среза
отдельных звеньев, чтобы частота, отве­
чаю щ ая зн ач ен и ю —3 д Б , бы ла одна
и та же для сравниваем ы х фильтров.
Остальные графики на рис. 5.2, как и
несколько следующих графиков в этой
главе, «нормированы» по частоте, в том
смысле, что точка, отвечающая значе­
нию —3 дБ (или точка перегиба), нахо­
дится на частоте 1 рад/с (или 1 Гц). Для
того чтобы определить характеристику
фильтра, у которого точка перегиба нахо­
дится на другой частоте, нужно просто из­
менить масштаб по оси частот с помощью
Нормированная частота умножения значений на частотной оси на
истинное значение точки перегиба^. Как
Рис. 5.2. Частотные характеристики многокаскадных правило, имея дело с фильтрами, мы бу­
ЛС-фильтров. Графики а и б выполнены в линейном
масштабе, график в — в логарифмическом. Харак­ дем придерживаться графиков с логариф­
теристики на графиках б и в нормализованы приве­ мическим масштабом по обеим осям, по­
дением точки —3 дБ к единичной частоте. скольку такой график дает наибольшую
280 Глава 5

информацию об амплитудно-частотной достигнут. По терминологии теории це­


характеристике. Он позволяет увидеть пей, эта магия заключается в наличии
приближение к окончательной крутизне «внеосевых полюсов». Тем не менее слож­
спада и дает возможность установить точ­ ность фильтра возрастает по мере ужесто­
ное значение затухания. В данном слу­ чения требований к горизонтальности и
чае (каскадное соединение ЛС-звеньев) плавности амплитудно-частотной харак­
нормализованные графики на рис. 5.2 б и теристики в полосе пропускания и к кру­
5.2 в демонстрируют плавный изгиб ха­ тизне спада вне ее, приводя к увеличе­
рактеристики пассивных ЛС-фильтров. нию числа элементов по сравнению с пре­
ды дущ им ф и льтром . П ереходная и
5.02. Идеальный рабочий режим фазочастотная характеристики, вообще
ХС-фильтров говоря, также ухудшаются по мере при­
ближения амплитудно-частотной характе­
Как было показано в гл. 1, фильтры, по­ ристики к идеальной прямоугольной фор­
строенные из конденсаторов и катушек ме (кирпичная стена).
индуктивности, могут иметь весьма кру­ Синтез фильтров из пассивных элемен­
тые характеристики. Примером этого мо­ тов (R, L, С) — хорошо исследованная
жет служить параллельный резонансный область [см., наприм ер, авторитетный
Z. С-контур. Введение в конструкцию ка­ справочник Зверева (тематические ссыл­
тушек индуктивности дает возможность ки в конце книги)]. Единственной про­
создать фильтр с любой желаемой близо­ блемой является то, что катушки индук­
стью участка характеристики в полосе тивности как элемент схемы часто остав­
пропускания к горизонтальной прямой в л яю т ж елать лучш его. О н и н ер ед к о
сочетании с резкостью переходной обла­ бывают дорогими и громоздкими, далеки
сти и крутизной спада вне полосы про­ от идеала, поскольку ведут к «потерям»,
пускания. На рис. 5.3 приведены в каче­ а именно имеют значительное последова­
стве примера телефонный фильтр и соот­ тельное сопротивление, равно как и дру­
ветствующая характеристика. гие «патологии», такие как нелинейность,
Очевидно, что введение катушек ин­ распределенная межвитковая емкость об­
дуктивности в схему дает некий магичес­ мотки и чувствительность к магнитным
кий эффект, который без них не может быть помехам.

80

70

60

50

40

30
Рис. 5.3. Вверху: пассивный полосовой фильтр с
20 хорошими параметрами, построенный из конденса­
торов и катушек индуктивности; емкость указана в пФ,
10 индуктивность - в мГн. Внизу; экспериментально из­
-1 .1 -1_1_ меренная характеристика этого фильтра. (На основе
14 ю 1» а> рис. 11 и 12 из статьи Orchard H.J., Sheahan D.F.
Частота, кГц IEEE journal o f solid-state curcuits, SC-5, No. 3 (1970).)
Активные фильтры и генераторы 281

Следовательно, нужно найти способ


построения фильтров без катушек индук­
тивности с характеристиками идеальных
Л£С-фильтров.

5.03. Введение в активные фильтры:


обзор

При использовании в качестве элемента


схемы фильтра ОУ можно синтезировать
характеристику любого /?£С-фильтра без
применения катушек индуктивности. Та­
кие безиндуктивные фильтры известны Рис. 5.4. Преобразователь (конвертер) полного от­
под названием «активные фильтры» из-за рицательного сопротивления.
наличия в их схеме активного элемента ным знаком), в то время как гиратор пре­
(усилителя). образует полное сопротивление в обрат­
Активные фильтры можно использо­ ное (т. е. емкость в индуктивность). Сле­
вать для реализации фильтров нижних дующие упражнения помогут уяснить, как
и верхних частот, полосовых и полосно­ это происходит.
подавляющих ф ильтров, вы бирая тип Упражнение 5.1. Покажите, что изображенная на
фильтра в зависимости от наиболее важ­ рис. 5.4 схема представляет собой конвертер полно­
ных свойств характеристики, таких как го сопротивления, в частности, что Z>x = - Z. Под­
максимальная равномерность усиления в сказка: подайте на вход какое-нибудь напряжение U
полосе пропускания, крутизна переходной и вычислите входной ток /. Затем возьмите их отно­
шение, чтобы найти ZB> = U/l.
области или независимость времени за­
паздывания от частоты (далее об этом Упражнение 5.2. Покажите, что схема на рис. 5.5
подробнее). Кроме того, можно построить есть гиратор, в частности, что Zfi = R-/Z. Подсказка:
эту схему можно рассматривать как набор делителей
как «всепропускающие фильтры» с плос­ напряжения, начиная справа.
кой амплитудно-частотной характеристи­
кой, но нестандартной фазочастотной ха­ Таким образом, КОС превращает кон­
рактеристикой (они также известны как денсатор в «обратную» катушку индуктив­
«фазовые корректоры»), так и наоборот — ности:
фильтр с постоянным фазовым сдвигом, Zc = 1 /> С ->■ Zbx =j/<oC,
но с произольной амплитудно-частотной
характеристикой. т. е. в том смысле, что порождаемый ток
Конвертеры отрицательного полного со­ запаздывает относительно приложенного
противления и гираторы. Есть два инте­ напряжения, а его полное сопротивление
ресных схемных элемента, которые следу­ имеет неправильную частотную зависи­
ет упомянуть в лю бом обзоре: это — мость (при возрастании частоты оно не
конвертер отрицательного полного со ­ растет, а убывает). Гиратор же, напро­
ставления (КОС) и гиратор. Эти уст­ тив, превращает конденсатор в элемент с
ройства могут имитировать свойства ка­ истинной индуктивностью:
тушек индуктивности, хотя в них кроме Zc = \ / j m C ^ Z BK= j v C R \
ОУ используются только конденсаторы
и резисторы. т. е. индуктивность которого L = C R 2.
Раз это так, то мы можем делать безин­ Z =Г
дуктивные фильтры с идеальными свой­ попе
ствами Л£С-фильтра, таким образом —это
по крайней мере один из способов реали­ I I
1_ ПОПС - [ !Z !
зации активных фильтров.
КОС преобразует полное сопротивле­
ние в ему противоположное (т. е. с обрат- Рис. 5.5.
282 Глава 5

бы первый резистор не был соединен с


выходом. Легко показать, что на очень
низких частотах наклон характеристики
такой же как и у /?С-фильтра, поскольку
выходной сигнал практически равен нулю.
Рост же выходного сигнала при увеличе­
нии его частоты приводит к уменьшению
ослабления в результате действия этой
Существование гиратора делает интуи­ следящей связи, и за счет этого становится
тивно ясным тот факт, что можно пост­ более резким излом характеристики. Ко­
роить безындуктивный фильтр, имити­ нечно, такое объяснение на пальцах не
рующий любой фильтр, использующий может заменить полного расчета, уже, к
катушки индуктивности: просто заменить счастью , проделанного для огромного
каждую катушку «гиратированным» кон­ числа хороших фильтров. Мы вернемся
денсатором. Такое применение гираторов к схемам активных фильтров в разд. 5.06.
вполне корректно, и ранее упомянутый
телефонный фильтр построен именно та­ 5.04. Критерии режима работы
ким способом. Кроме того, простая встав­ фильтра Ки
ка гираторов в существующие RLC-схе­
мы позволяет создавать много иных струк­ При анализе фильтров и при расчете их
тур фильтров. Проектирование активных параметров всегда используются некото­
безындуктивных фильтров —весьма актив­ рые стандартные термины и имеет смысл
но развивающаяся область, и описания придерживаться их с самого начала.
новых конструкций появляются в журналах Частотная область. Наиболее очевид­
каждый месяц. ной характеристикой фильтра является
Фильтры Саллена и Ки. На рис. 5.6 зависимость его коэффициента передачи
приведен п рим ер п ростого ф и л ьтра, от частоты; типичный случай — характе­
построенного даже отчасти из интуитив­ ристика фильтра нижних частот, показан­
ных соображений. Он известен как фильтр ная на рис. 5.7. Здесь полоса пропускания
Саллена и Ки, по имени его изобретате­ представляет собой область частот, кото­
лей. Здесь в качестве усилителя с единич­ рые ср авн и тел ьн о м ало осл аб л яю тся
ным коэффициентом усиления может ис­ фильтром. Чаще всего считается, что по­
пользоваться ОУ, включенный в режиме лоса пропускания простирается до точки,
повторителя, либо просто эмиттерный по­ соответствующей значению затухания —
вторитель. Данный фильтр представляет 3 дБ, но для некоторых фильтров (среди
собой двухполюсный фильтр верхних ча­ них замечательны фильтры с «равнове­
стот. Следует отметить, что это был бы ликими пульсациями») граница полосы
просто двухкаскадный ЛС-фильтр, если пропускания может быть определена не-

(лог. масштаб)
а б в
Рис. 5.7. Частотные характеристики фильтров, а — коэффициент усиления (логарифмический масштаб),
б и в —сдвиг фазы и временное запаздывание (линейный масштаб).
Активные фильтры и генераторы 283

сколько иначе. Внутри же полосы про­


пускания характеристика может быть не­
равномерной, или пульсирующей, с опре­
деленным диапазоном (полосой) пульсаций
характеристики, как это и показано на ри­
сунке. Частота среза f c определяет гра­
ницу полосы пропускания. Далее характе­
ристика фильтра проходит через переход­
ную область (известную также как «склон»
характеристики фильтра) к полосе задер­
живания — области значительного ослаб­
ления. Полосу задерживания можно оп­
ределить через некоторое минимальное
затухание, например 40 дБ. ри сти ки и ам пли тудн о-частотной ха­
Наряду с характеристикой коэф ф ици­ рактеристики фильтра ниж них частот,
ента передачи в частотной области важен который явно не является линейно-фазо­
и другой параметр, а именно сдвиг фазы вым фильтром. Графики фазочастотной
выходного сигнала по отношению к вход­ характеристики лучше всего строить в ли­
ному. Другими словами, нас интересует нейном по частоте масштабе.
комплексная частотная характеристика Временная область. Свойства фильтров,
фильтра, которая обычно обозначается как как и любых других схем переменного
H(s), где s = > , s и Н - комплексные тока, могут быть описаны также их пара­
величины. Фазочастотная характеристи­ метрами во временной области, а именно
ка важна, поскольку сигнал, целиком рас­ временем нарастания, выбросом, пульса­
положенный по частоте в полосе пропус­ циями и временем установления. Эти
кания, будет искажен, если время запаз­ свойства важны, в частности, там, где
дывания при прохождении через фильтр должны использоваться ступенчатые или
не будет постоянным для различных час­ импульсные сигналы. На рис. 5.9 пока­
тот. Постоянство временной задержки (для зана типичная переходная характеристи­
всех частот) соответствует линейному воз­ ка фильтра нижних частот. Здесь время
растанию фазового сдвига в зависимости нарастания представляет собой время,
от частоты, поэтому термин фильтр с ли­ необходимое для достижения сигналом 90%
нейной фазочастотной характеристикой своего конечного значения, в то время как
применяется к идеальному в этом отно­ время установления — это время, необхо­
шении фильтру. На рис. 5.8 показаны димое для того, чтобы сигнал попал в
типовые графики фазочастотной характе- некоторую окрестность конечного значе­
ния и там остался. Выброс и колебания
описывают нежелательные свойства филь­
тра, смысл которых ясен из их названия.

5.05. Типы фильтров

Предположим, что требуется фильтр ниж­


них частот с плоской характеристикой в
полосе пропускания и резким переходом
к полосе подавления. Окончательный же
наклон характеристики в полосе задержи­
вания всегда будет 6л дБ/октава, где п —
число «полюсов». На каждый полюс не­
Рис. 5.8. Фазовая и амплитудно-частотная характери­ обходим один конденсатор (или катушка
стики 8-полюсного фильтра Чебышева нижних частот. индуктивности), поэтому требования к
Размах пульсаций (неравномерность) 2 дБ. окончательной скорости спада частотной
284 Глава 5

характеристики фильтра, грубо говоря, ров нижних и верхних частот и полосовых


определяют его сложность. фильтров.
Теперь предположим, что вы решили Фильтры Баттерворта и Чебышева.
использовать 6-полюсный фильтр нижних Фильтр Баттерворта обеспечивает наиболее
частот. Вам гарантирован окончательный плоскую характеристику в полосе пропус­
спад характеристики на высоких частотах кания, что достигается ценой плавности
36 дБ/октава. В свою очередь теперь мож­ характеристики в переходной области,
но оптимизировать схему фильтра в смыс­ т. е. между полосами пропускания и за­
ле обеспечения максимально плоской ха­ держивания. Как будет показано дальше,
рактеристики в полосе пропускания за у него также плохая фазочастотная харак­
счет уменьшения крутизны перехода от теристика. Его амплитудно-частотная ха­
полосы пропускания к полосе задержи­ рактеристика задается следующей форму­
вания. С другой стороны, допуская неко­ лой:
торую неравномерность характеристики в
полосе пропускания, можно добиться бо­
лее крутого перехода от полосы п ро­ где п определяет порядок фильтра (число
пускания к полосе задерживания. Третий полюсов). Увеличение числа полюсов дает
критерий, который может оказаться важ­ возможность сделать более плоским учас­
ным, описывает способность фильтра про­ ток характеристики в полосе пропускания
пускать сигналы со спектром, лежащим в и увеличить крутизну спада от полосы
полосе пропускания, без искажений их пропускания к полосе подавления, как это
формы, вызываемых фазовыми сдвигами. показано на рис. 5.10.
Можно также интересоваться временем Выбирая фильтр Баттерворта, мы ради
нарастания, выбросом и временем уста­ м аксим ально плоской характеристики
новления. поступаемся всем остальным. Его харак­
Известны методы проектирования филь­ теристика идет горизонтально, начиная от
тров, пригодные для оптимизации любой нулевой частоты, перегиб ее начинается
из этих характеристик или их комбинаций. на частоте среза f c — эта частота обычно
Действительно разумный выбор фильтра соответствует точке —3 дБ.
происходит не так, как описано выше; как В большинстве применений самым су­
правило, сначала задаются требуемая рав­ щественным обстоятельством является то,
номерность характеристики в полосе про­ что неравномерность характеристики в
пускания и необходимое затухание на не­ полосе пропускания не должна превы-
которой частоте вне полосы пропускания
и другие параметры. После этого выби­
рается наиболее подходящая схема с ко­
личеством полю сов, достаточны м для
того, чтобы удовлетворялись все эти тре­
бования. В следующих нескольких раз­
делах будут рассмотрены три наиболее
популярных типа фильтров, а именно
фильтр Баттерворта (максимально плос­
кая характеристика в полосе пропуска­
ния), фильтр Чебышева (наиболее крутой
переход от полосы пропускания к полосе
подавления) и фильтр Бесселя (макси­
мально плоская характеристика времени
запазды вания). Л ю бой из этих типов Нормированная частота
фильтров можно реализовать с помощью
Рис. 5.10. Нормированные характеристики фильт­
различных схем фильтров; некоторые из ров нижних частот Баттерворта. Обратите внима­
них мы обсудим позже. Все они равным ние на увеличение крутизны спада характеристики
образом годятся для построения фильт­ с увеличением порядка фильтра.
Активные фильтры и генераторы 285

степени п, a s — константа, определяющая


неравномерность характеристики в поло­
се пропускания. Фильтр Чебышева, как
и фильтр Батгерворта, имеет фазочастот­
ные характеристики, далекие от идеаль­
ных. На рис. 5.11 представлены для срав­
нения характеристики 6-полюсных фильт­
ров нижних частот Чебышева и Батгер­
ворта. Как легко заметить, и тот и другой
н ам н о го лучш е 6 -п о л ю сн о го R C -
фильтра.
На самом деле фильтр Баттерворта с
максимально плоской характеристикой в
Нормированная частота полосе пропускания не столь привлека­
телен, как это может показаться, посколь­
ку в любом случае приходится мириться
с некоторой неравномерностью в полосе
пропускания (для фильтра Батгерворта это
будет постепенное понижение характери­
стики при приближ ении к частоте / с,
а для фильтра Чебышева — пульсации,
распределенные по всей полосе пропус­
кания). Кроме того, активные фильтры,
построенны е из элементов, номиналы
которых имеют некоторый допуск, будут
обладать характеристикой, отличающей­
ся от расчетной, а это значит, что в дей­
ствительности на характеристике фильт­
Нормированная частота
ра Баттерворта всегда будет иметь место
Рис. 5.11. Сравнение характеристик некоторых обыч­
некоторая неравномерность в полосе про­
но применяемых 6-полюсных фильтров нижних час­ пускания. На рис. 5.12 проиллюстриро­
тот. Характеристики одних и тех же фильтров изобра­ вано влияние наиболее нежелательных
жены и в логарифмическом (вверху), и в линейном отклонений значений емкости конденса­
(внизу) масштабе. / —фильтр Бесселя; 2 —фильтр Бат- тора и сопротивления резистора на харак­
терворта; 3 -фильтр Чебышева (пульсации 0,5 дБ). теристику фильтра.

шать некоторой определенной величины,


скажем 1 дБ. Фильтр Чебышева отвечает
этому требованию, при этом допускается
некоторая н ер авн о м ерн ость хар ак те­
ристики во всей полосе пропускания, но
при этом сильно увеличивается острота ее
излома. Для фильтра Чебышева задают
число полюсов и неравномерность в по­
лосе пропускания. Допуская увеличение
неравномерности в полосе пропускания,
получаем более острый излом. Амплитуд­
но-частотная характеристика этого филь­
тра задается следующим соотношением:

Рис. 5.12. Влияние изменений параметров элементов


где Сп - полином Чебышева первого рода на характеритику активного фильтра.
286 Глава 5

В свете вышеизложенного весьма ра­


циональной структурой является фильтр
Чебышева. Иногда его называют равно­
волновым фильтром, так как его характе­
ристика в области перехода имеет боль­
шую крутизну за счет того, что по полосе
пропускания распределено несколько рав­
новеликих пульсаций, число которых воз­
растает вместе с порядком фильтра. Даже
при сравнительно малых пульсациях (по­
рядка 0,1 дБ) фильтр Чебышева обеспе­
чивает намного большую крутизну ха­
рактеристики в переходной области, чем
фильтр Баттерворта. Чтобы выразить эту Рис. 5.13. Задание параметров частотной характерис­
разницу количественно, предположим, что тики фильтра.
требуется фильтр с неравномерностью ха­
рактеристики в полосе пропускания не бо­ ристика фильтра не дает о нем полной
лее 0,1 дБ и затуханием 20 дБ на частоте, информации. Фильтр с плоской ампли­
отличающейся на 25% от граничной часто­ тудно-частотной характеристикой может
ты полосы пропускания. Расчет показыва­ иметь большие сдвиги фаз. В результате
ет, что в этом случае требуется 19-полюс- этого форма сигнала, спектр которого
ный фильтр Баттерворта или всего лишь лежит в полосе пропускания, будет иска­
8-полюсный фильтр Чебышева. ж ена при прохождении через фильтр.
М ысль о том, что можно мириться В ситуации, при которой форма сигнала
с пульсациями характеристики в полосе имеет первостепенное значение, ж ела­
пропускания ради увеличения крутизны тельно иметь в распоряжении линейно­
переходного участка, доводится до своего фазовый фильтр (фильтр с постоянным
логического завершения в идее так назы­ временем запаздывания). Предъявление к
ваемого эллиптического фильтра (или фильтру требования обеспечения линей­
фильтра Кауэра), в котором допускаются ного изменения сдвига фазы в зависимо­
пульсации характеристики как в полосе сти от частоты эквивалентно требованию
пропускания, так и в полосе задержива­ постоянства времени запаздывания для
ния ради обеспечения крутизны переход­ сигнала, спектр которого расположен в
ного участка даже большей, чем у харак­ полосе пропускания, т. е. отсутствия ис­
теристики фильтра Чебышева. С помощью кажений формы сигнала. Фильтр Бесселя
ЭВМ можно сконструировать эллиптиче­ (также называемый фильтром Томсона)
ские фильтры так же просто, как и класси­ имеет наиболее плоский участок кривой
ческие фильтры Чебышева и Баттерворта. времени запаздывания в полосе пропус­
На рис. 5.13 представлено графическое кания, подобно тому как фильтр Баггер-
задание амплитудно-частотной характе­ ворта имеет наиболее плоскую амплитуд­
ристики фильтра. В этом случае (фильтр но-частотную характеристику. Чтобы по­
нижних частот) определяются допустимый нять, какое улучшение во временной об­
диапазон коэффициента передачи фильт­ ласти дает фильтр Бесселя, посмотрите на
ра (т.е. неравномерность) в полосе про­ рис. 5.14, где изображены нормирован­
пускания, минимальная частота, на ко­ ные по частоте графики времени запаз­
торой характеристика покидает полосу дывания для 6-полюсных фильтров ниж­
пропускания, максимальная частота, где них частот Бесселя и Баттерворта. Пло­
характеристика переходит в полосу за­ хая характеристика времени запаздывания
держивания, и минимальное затухание в фильтра Баттерворта обуславливает появ­
полосе задерживания. ление эффектов типа выброса при про­
Фильтры Бесселя. Как было установле­ хождении через фильтр импульсных сиг­
но ранее, амплитудно-частотная харакге- налов. С другой же стороны, за постоян-
Активные фильтры и генераторы 287

Существует много различных способов


проектирования фильтров, в которых де­
лаются попытки улучшить рабочие пара­
метры фильтра Бесселя во временной об­
ласти, частично жертвуя постоянством
времени запаздывания ради уменьшения
времени нарастания и улучшения ампли-
тудно-частотной характеристики. Фильтр
Гаусса имеет почти столь же хорошие ф а­
зочастотные характеристики, как и фильтр
Бесселя, но при улучшенной переходной
характеристике. Другой интересный класс
представляют собой фильтры, позволяю­
Частота, рад/с щие добиться одинаковых по величине
пульсаций кривой времени запаздывания
Рис. 5.14. Сравнение временных запаздываний для
в полосе пропускания (аналогично пуль­
6-полюсных фильтров нижних частот Бесселя (Г) и
Батгерворта (2). Фильтр Бесселя благодаря своим сациям амплитудно-частотной характери­
превосходным свойствам во временной области дает стики фильтра Чебышева) и обеспечива­
наименьшее искажение формы сигнала. ющие примерно одинаковое запаздыва­
ние для сигналов со спектром вплоть до
ство времен запаздывания у фильтра Бес­ полосы задерживания. Еще один подход
селя приходится расплачиваться тем, что к созданию фильтров с постоянным вре­
его амплитудно-частотная характеристи­ менем запаздывания — это применение
ка имеет еще более пологий переходной всепропускающих фильтров, называемых
участок между полосами пропускания и иначе корректорами во временной облас­
задерживания, чем даже у характеристи­ ти. Эти фильтры обладают постоянной
ки фильтра Баттерворта. амплитудно-частотной характеристикой,
а сдвиг фазы может меняться согласно

Таблица 5.1. Сравнение характеристик фильтров нижних частот 0


/здб’ Число Время Выброс, Время установ­ Начало Ослабление,
Гц полюсов нарастания, % ления, с полосы дБ
с (от 0 подавле­ / = ю/с
до 90%) до 1% до 0,1 % ния, дБ
/ = 2/
Фильтр Бесселя 1,0 2 0,4 0,4 0,6 1,1 10 36
(-3 дБ при 1,0 4 0,5 0,8 0,7 1,2 13 66
f c = 1,0 Гц) 1,0 6 0,6 0,6 0,7 1,2 14 92
1,0 8 0,7 0,3 0,8 1,2 14 114
Фильтр Баттерворта 1,0 2 0,4 4 0,8 1,7 12 40
(-3 дБ при 1,0 4 0,6 11 1,0 2,8 24 80
/с = 1,0 Гц) 1,0 6 0,9 14 1,3 3,9 36 120
1,0 8 1,1 16 1,6 5,1 48 160
Фильтр Чебышева, 1,39 2 0,4 11 1,1 1,6 8 37
пульсации 0,5 дБ 1,09 4 0,7 18 3,0 5,4 31 89
(-0,5 дБ при 1,04 6 1,1 21 5,9 10,4 54 141
/ с = 1,0 Гц) 1,02 8 1,4 23 8,4 16,4 76 193
Фильтр Чебышева, 1,07 2 0,4 21 1,6 2,7 15 44
пульсации 2,0 дБ 1,02 4 0,7 28 4,8 8,4 37 96
(-2,0 дБ при 1,01 6 1,1 32 8,2 16,3 60 148
/ с = 1,0 Гц) 1,01 8 1,4 34 11,6 24,8 83 200
" Процедура проектирования этих фильтров изложена в разд. 5.07.
288 Глава 5

Баттерворта, Ч ебы ш ева и др. М ожно


спросить: зачем вообще нужно больше
одной схемы активного фильтра? Причи­
на в том, что каждая схемная реализация
является наилучшей в смысле тех или иных
желательных свойств, и поэтому «абсолют­
но лучшей» схемы активного фильтра не
существует.
Некоторые свойства, желательные для
схемы активного фильтра, таковы: а) ма­
Время, с лое число элементов, как активных, так
Рис. 5.15. Сравнение переходных процессов 6-полюс - и пассивных; б) легкость регулировки;
ных фильтров нижних частот. Кривые нормированы в) малое влияние разброса параметров эле­
приведением значения ослабления 3 дБ к частоте ментов, в особенности значений емкостей
1 Гц. 1 — фильтр Бесселя; 2 — фильтр Баттерворта; конденсаторов; г) отсутствие ж естких
3 —фильтр Чебышева (пульсации 0,5 дБ). требований к применяемому операцион­
ному усилителю, в особенности требова­
конкретным требованиям. Таким обра­ ний к скорости нарастания, ширине по­
зом, их можно применять для выравнива­ лосы пропускания и полному выходному
ния времени запаздывания любых фильт­ сопротивлению; д) возможность создания
ров, в частности фильтров Баттерворта и высокодобротных фильтров; е) нечувстви­
Чебышева. тельность характеристик фильтра по от­
Сравнение фильтров. Несмотря на ра­ ношению к параметрам элементов и ко­
нее высказанные замечания о переходной эффициенту усиления ОУ (в частности,
характеристике фильтров Бесселя, он все произведению коэффициента усиления на
же обладает очень хорошими свойствами ширину полосы пропускания,^). По мно­
во временной области по сравнению с гим причинам последнее свойство явля­
фильтрами Баттерворта и Чебышева. Сам ется одним из наиболее важных. Фильтр,
фильтр Чебышева при его весьма подхо­ который требует соблюдения высокой точ­
дящей амплитудно-частотной характе­ ности значений параметров элементов,
ристике имеет наихудшие параметры во трудно настраивать, и по мере старения
временной области из всех этих трех ти­ элементов настройка теряется; кроме того
пов фильтров. Фильтр Баттерворта дает дополнительной неприятностью является
компромисс между частотами и времен­ требование использовать элементы с ма­
ными характеристиками. В табл. 5.1 и лым допуском значений параметров. Схе­
на рис. 5.15 дана информация по рабо­ ма фильтра на ИНУН (источник напря­
чим характеристикам этих трех типов жения, управляемый напряжением) обя­
фильтров во временной области, допол­ зана широкой популярностью в основном
няющая приведенные ранее графики ам- своей простоте и малому числу деталей,
плитудно-частотных характеристик. По но эта схема страдает недостатком , а
этим данным можно сделать вывод, что в именно высокой чувствительностью к из­
тех случаях, когда важны параметры филь­ менениям значения параметров элемен­
тра во временной области, желательно тов. Для сравнения: недавно возникший
применять фильтр Бесселя. интерес к более сложным гиратороподоб-
ным схемам вызван их нечувствитель­
СХЕМЫ АКТИВНЫХ ФИЛЬТРОВ ностью к малым изменениям параметров
элементов.
Известны очень хитроумные конструкции В этом разделе будет рассмотрено не­
активных фильтров, каждый из которых сколько схем для реализации фильтров
используется для того, чтобы в качестве нижних и верхних частот, а также поло­
характеристики фильтра получить нуж­ совых фильтров. Начнем же с популярной
ную функцию, как, например, функция схемы на ИНУН, или управляемого ис­
Активные фильтры и генераторы 289

точника, затем рассмотрим построение


фильтров на основе метода переменных
состояния, выпускаемых в виде и н те­
гральных схем различными фирмами-из-
готовителями, и, наконец, упомянем о
двойном Т-образном фильтре с высоким
избирательным подавлением («фильтр-
пробка») и о некоторых интересных но­
вых направлениях в области реализации
фильтров на переключаемых конденсато­
рах.

5.06. Схемы на ИНУН

Фильтр на источнике напряжения, управ­


ляемом напряжением (ИНУН), известный
также просто как фильтр с управляемым
источником, — это вариант фильтра Сал­
лена и Ки, который был описан выше.
В этом случае повторитель с единичным
коэффициентом усиления заменен неин­
вертирующим усилителем с коэф ф ици­
ентом усиления, большим 1. На рис. 5.16
даны схемы для реализации фильтра ниж­
них и верхних частот, а также полосового
фильтра. С помощью присоединенных к
выходу ОУ резисторов, образован неи н ­
вертирующий усилитель напряж ения с *2
коэффициентом усиления К, а осталь­
ные R и С по-прежнему формируют ча­
стотную характеристику фильтра. К ак
будет показано далее, эти двухполюсные
фильтры могут быть фильтрами Баттер­
ворта, Бесселя и др. за счет определен­
ного подбора парам етров элем ентов.
Любое число двухполюсных секций на
ИНУН может быть соединено каскадно
для создания фильтров более высокого
порядка. В таком соединении отдель­
ные секции, вообще говоря, не иден ­ Рис. 5.16. Схемы активных фильтров на ИНУН.
тичны. Действительно, каждая секция а —фильтр нижних частот; б — фильтр верхних час­
соответствует квадратичному сом нож и­ тот; в — полосовой фильтр.
телю полинома степени п описываю щ е­
го фильтр в целом.
В большинстве обычных справочников
по фильтрам приведены формулы и таб­ фильтров на ИН У Н типа Баттерворта,
лицы для всех стандартных характеристик Бесселя и Чебышева (фильтр Чебышева с
фильтров, включая отдельные таблицы неравномерностью 0,5 и 2 дБ), используе­
для фильтров Чебышева с разными амп­ мых в качестве фильтров нижних или верх­
литудами пульсаций. В следующем раз­ них частот. Полосовой и полосноподав­
деле будут представлены удобные в упот­ ляющий фильтры легко могут быть состав­
реблении таблицы для проектирования лены из их комбинаций.
290 Глава 5

5.07. Проектирование фильтров на ИНУН гать, поскольку на высоких частотах воз­


с использованием наших растающее выходное полное сопротивле­
упрощенных таблиц ние разомкнутого контура операционного
усилителя добавляется к сопротивлению
Перед тем как пользоваться табл. 5.2, надо резистора, внося ошибку в расчет.) Тогда
решить, какая характеристика фильтра нам все, что вам нужно сделать, — это устано­
нужна. Как уже говорилось ранее, фильтр вить коэффициент усиления каждого кас­
Баттерворта хорош, если нужна макси­ када К согласно табличным данным. Для
мально плоская характеристика в полосе я-полюсного фильтра потребуется я/2 об­
пропускания, фильтр Чебышева обеспе­ ращений к таблице - по числу секций.
чивает наиболее крутой спад от полосы Фильтры Баттерворта нижних частот.
пропускан и я к полосе задерж иван и я Если используется фильтр Баттерворта, то
(ценой некоторой неравномерности харак­ параметры всех секций имеют одинако­
тери сти ки в полосе п р о п у с к ан и я), а вые значения R и С, определяемые соот­
фильтр Бесселя имеет наилучшую фазо­ ношением R C - 1/2nfQ, гд е^ - частота,
частотную характеристику, т. е. посто­ соответствующая значению ослабления
янное запаздывание сигнала в полосе про­ всего фильтра, равному —3 дБ. Чтобы по­
пускания и соответствен но хорош ую строить, например, 6-полюсный фильтр
переходную характеристику. Амплитудно- Баттерворта нижних частот, мы соединя­
частотные характеристики всех этих ти­ ем каскадно три вышеописанные секции
пов даны на соответствующих графиках с коэффициентами усиления, равными со­
(рис. 5.17). ответственно 1,07, 1,59 и 2,48 (желательно
Д ля ко н стр у и р о ван и я «-п ол ю сн ого именно в указанном порядке, во избежа­
фильтра (при четном п) нужно соединить ние возни с динамическим диапазоном)
каскадно я/2 секций на ИНУН. Рассмат­ и подбором идентичных для всех секций
риваются только фильтры четного поряд­ параметров Л и С устанавливаем точку,
ка, поскольку для фильтра нечетного по­ отвечающую значению — 3 дБ. Описанная
рядка нужно столько же операционных в разд. 8.31 схема управления телескопом
усилителей, сколько и для фильтра на представляет собой подобный пример со
единицу большего порядка. В каждой зн ачением ^ = 88,4 Гц (R = 180 кОм, С =
секции Л, = R2 = R и С, = С, = С. Как и = 0,01 мкФ).
обычно в схемах на операционных усили­ Фильтры нижних частот Бесселя и Че­
телях, значение R выбирается в диапазоне бышева. Ненамного сложнее построить на
от 10 до 100 кОм. (Резисторов с малым И НУН фильтр Бесселя или Чебышева.
номиналом сопротивления лучше избе- Опять-таки соединим каскадно несколь­
ко двухполюсных фильтров на ИНУН с
Таблица 5.2. И Н УН -ф ильтры нижних частот предписанным для каждой секции коэф ­
фициентом усиления. Снова в каждой
Фильтр Фильтр Фильтр Фильтр секции зададим Л, = R2 = R и С, = С2 = С.
Баттер- Бесселя Чебышева Чебышева
ворта (0,5 дБ) (0,2 дБ) Но теперь, в отличие от ситуации с фильт­
ром Баттерворта, произведение RC будет
К /„ К Л К /„ К для каждой секции свое и должно вычис­
2 1,586 1,274 1,268 1,231 1,842 0,907 2,114 ляться с помощью нормирующего мно­
4 1,152 1,432 1,084 0,597 1,582 0,471 1,924 жителя f n (его значения для каждой секции
2,235 1,606 1,759 1,031 2,660 0,964 2,782 приведены в табл. 5.2) по формуле RC =
6 1,068 1,607 1,040 0,396 1,537 0,316 1,891 = l/ln f'./f" . Здесь через f c обозначена точ­
1,586 1,692 1,364 0,768 2,448 0,730 2,648 ка, отвечаю щ ая значению —3 дБ, для
2,483 1,908 2,023 1,011 2,846 0,983 2,904 фильтра Бесселя и граница полосы про­
8 1,038 1,781 1,024 0,297 1,522 0,238 1,879 пускания — для фильтра Чебышева, т. е.
1,337 1,835 1,213 0,599 2,379 0,572 2,605 это частота, на которой амплитудно-час­
1,889 1,956 1,593 0,861 2,711 0,842 2,821 тотная характеристика спадает ниже диа­
2,610 2,192 2,184 1,006 2,913 0,990 2,946 пазона неравномерности при переходе к
Активные фильтры и генераторы 291

Нормированная частота
в
Рис. 5.17. Графики нормированных частотных характеристик 2-, 4-, 6- и 8-полюсных фильтров из табл. 5.2.
Характеристики фильтров Батгерворта (а) и Бесселя (б) нормированы приведением ослабления 3 дБ к единичной
частоте, а фильтры Чебышева —приведением к этой частоте ослабления 0,5 дБ (в) и 2 дБ (г) соответственно.

полосе задерж ивания. Н априм ер, ха­ фильтров Бесселя и Чебышева сами зна­
рактеристика фильтра Чебышева нижних чения К останутся те же, а нормирующий
частот с неравномерностью 0,5 дБ и f c = множитель / н должен быть обратный, т. е.
= 100 Гц будет плоской с небольшой не­ для каждой секции новое значение равно
равномерностью от 0 до -0 ,5 дБ в диапа­ /„ = !//„ (как указано в табл. 5.2).
зоне от 0 до 100 Гц, на частоте 100 Гц Полосовой фильтр получается при кас­
будет затухание 0,5 дБ, а дальше частоты кадном соединении фильтров верхних час­
100 Гц-крутой спад. Значения парамет­ тот и фильтров нижних частот с перекры­
ров приведены в табл. 5.2 для фильтров вающимися полосами пропускания. По­
Чебышева, имеющих неравномерность лосноподавляю щ ий же ф ильтр мож но
характеристики в полосе пропускания 0,5 получить с помощью схемы сложения вы­
и 2 дБ; у последнего спад к полосе задер­ ходных сигналов фильтров верхних час­
живания несколько круче (рис. 5.17). тот и фильтров нижних частот с непере-
Фильтры верхних частот. Чтобы по­ крывающимися полосами пропускания.
строить фильтр верхних частот, исполь­ О днако такие каскадны е ф ильтры не
зуем показанную ранее конфигурацию очень пригодны там, где нужны фильтры
фильтра нижних частот, т. е. поменяем с вы сокой д обротн остью (п олосовы е
местами Л и С. При этом для фильтра фильтры с крутой переходной областью)
Баттерворта ничего больше не изменится вследствие больш ой чувствительности
(значения R, С и К останутся те же). Для индивидуальных (непарных) фильтровых
292 Глава 5

секций к значениям параметров элемен­ номерностью в полосе пропускания 0,5 дБ и часто­


тов. В таких случаях следует применять той среза f c = 100 Гц. Какое ослабление будет на
частоте, равной 1,5 / ?
высокодобротную однокаскадную полосо­
вую схему (т. е. описанную ранее поло­
совую схему на ИНУН или рассматри­ 5.08. Фильтры, построенные на основе
ваемые далее биквадратные фильтры и метода переменных состояния
фильтры на основе метода переменных Изображенный на рис. 5.18 двухполюс­
со с т о ян и я ) вм есто м н о го к ас к ад н о го ный фильтр куда более сложен по сравне­
фильтра. Даже однокаскадный двухпо­ нию с фильтрами на ИНУ Н, но он ш и­
люсный фильтр может иметь характерис­ роко применяется благодаря повышенной
тику с крайне острым пиком. Информа­ устойчивости и легкости регулировки. Он
цию о таких конструкциях фильтров мож­ называется фильтром на основе метода пе­
но найти в справочниках. ременных состояния. Этот фильтр выпус­
В фильтрах на ИНУН используется ми­ кается в виде интегральной схемы ф ир­
нимальное число элементов (один опера­ мами N ational (AF100 и AF150), Burr-
ционный усилитель на два полюса харак­ B row n (с е р и я U A F ) и д р у ги м и .
теристики), при этом они дают допол­ Поскольку этот фильтр является готовым
нительный выигрыш в виде неинвертирую­ модулем, то все элементы у него встроен­
щего коэффициента усиления, низкого вы­ ные, за исключением резисторов RG, Rs
ходного полного сопротивления, малого и двух Rf . Среди прочих достоинств этой
разброса значений параметров, простоты схемы сущ ественна возможность путем
регулировки коэффициента усиления и коммутации выходов получать из одной
способности работать при большом ко­ схемы фильтры верхних и нижних частот,
эффициенте усиления или высокой доб­ а также полосовой фильтр. Кроме того,
ротности. Их недостаток — высокая чув­ частоту фильтра можно регулировать при
ствительность к изменениям параметров неизменном значении добротности Q (или
элементов и коэффициента передачи уси­ неизменной полосе пропускания - по вы­
лителя, кроме того, они не годятся для бору) характеристики в полосе пропус­
построения перестраиваемых фильтров с кания. К ак при работе с фильтрами на
устойчивой характеристикой. ИНУН, несколько секций могут быть со­
Упражнение 5.3. Спроектируйте на ИНУН 6-по- единены каскадно для создания фильтров
люсный фильтр Чебышева нижних частот с нерав­ более высоких порядков.

1000 пФ
Активные фильтры и генераторы 293

Изготовители этих интегральных схем ной добротностью Q при использовании


предлагают для пользователей подробные в качестве резистора Rr двухсекционного
расчетные формулы и таблицы. Они дают переменного резистора (потенциометра).
рекомендации по выбору номиналов со­ С другой стороны, переменным можно
противлений внешних резисторов для по­ сделать резистор RQ, при этом получается
лучения фильтров Баттерворта, Бесселя и фильтр с фиксированной частотой и из­
Чебышева разных порядков; при этом меняемой добротностью Q (и, к сожале­
можно получать фильтры с характеристи­ нию, с переменным коэффициентом пе­
ками верхних, нижних частот или поло­ редачи).
совые и полосноподавляющие. Привле­ Упражнение 5.4. Необходимо рассчитать номиналы
кательной особенностью этих гибридных резисторов показанной на рис. 5.18 схемы, исполь­
схем является то, что в модуль встроены зуемой в качестве полосового фильтра с f = 1 кГц,
конденсаторы; так что остается добавить £> = 50 и G = 10.
только внешние резисторы. На рис. 5.19 изображена полезная мо­
Полосовые фильтры. Несмотря на боль­
дификация полосового фильтра на основе
шое число схемных элементов, фильтр, метода перем енны х состоян ия. Н едо­
построенный на основе метода перемен­ статком является использование в ней че­
ных состояния, представляется наиболее тырех ОУ, достоинство же заключается в
удачной схемой для реализации (высоко­ возможности регулировать ширину поло­
добротных) полосовых фильтров. Он об­ сы пропускания (т. е. добротность Q) без
ладает низкой поэлем ентной чувстви­ изменения коэффициента усиления в по­
тельностью, не предъявляет высоких тре­ лосе. Действительно, как добротность Q,
бований к ширине полосы пропускания так и коэффициент усиления устанавли­
ОУ, а также прост в настройке. Напри­ ваются единственным резистором. Доб­
мер, в представленной на рис. 5.18 схеме, ротность Q, коэффициент усиления и цен­
используемой в качестве полосового филь­ тральная частота полосы пропускания
тра, с помощью двух резисторов Rr уста­ полностью независимы и задаются следу­
навливается центральная частота полосы ющими простыми соотношениями:
пропускания, в то время как резисторы RQ
и Ra совместно определяют добротность / 0 = 1/2nRf C, Q = R JR Q, G = Rx/R c,
Q и коэффициент усиления в полосе про­ R и 10 кОм (значение некритично, под­
пускания гоняемое).
Rf = 5,03 х Ю7//0 Ом, Биквадратные фильтры. Наиболее близ­
Rq— 105/(3,48О + С - 1) Ом, ко к фильтру на основе метода перемен­
ных состояния примыкает изображенный
Rc = 3,16 х 1О40/С О м . на рис. 5.20 так называемый биквадрат­
Следовательно, можно сделать настраи­ н ы й ф и л ь т р . В э то й схем е так ж е
ваемый по частоте фильтр с фиксирован­ используются три ОУ и ее можно сконст-
Я.

Рис. 5.19. Фильтр с независимой регулировкой уси­


ления и добротности
294 Глава 5

Рис. 5.20. Биквадратный


активный фильтр.

руировать с помощью упомянутой ранее чению ширины полосы пропускания но­


ИС на основе метода переменных со ­ минала сопротивления R0.
стояния. Замечательным свойством такого 5. Исходя из требуемого коэффициента
ф ильтра является возм ож ность регу­ передачи на центральной частоте полосы
лировки его частоты (с помощью RF) при пропускания и согласно третьему расчет­
сохранении постоянности ширины поло­ ному уравнению, получаем значение со­
сы пропускания (это предпочтительнее, противления R a.
чем сохранение неизменности добротно­ Если расчетные значения сопротивле­
сти Q). Далее предлагаются расчетные ний получаются слиш ком велики или
уравнения: слишком малы, что неудобно в некото­
/ 0 = \/2nRFС, BW = 1/2nRBC, рых случаях, то можно подобрать другой
номинал конденсатора. Например, в вы­
G = /?b/*g- сокодобротном фильтре потребуется ис­
Сама добротность Q определяется как пользовать увеличенное значение кон ­
//B W и равна RB/ R F- При изменении зна­ денсатора С отчасти с тем, чтобы предот­
чения центральной частоты (с помощью вратить существенное увеличение значение
/?F) пропорционально изменяется и до­ сопротивления RB (или можно исполь­
бротность Q, при этом сохраняется неиз­ зовать описанную в разд. 4.19 Т-образ-
менной ширина полосы пропускания Qfo. ную цепь). Следует отметить, что каждое
Когда вы проектируете биквадратный из сопротивлений RF, R£ и Ra является
фильтр вчерновую (правильнее исполь­ нагрузкой для ОУ и, следовательно, их
зовать ИС активного фильтра, которая уже значение не должно становиться меньше,
содержит большинство необходимых эле­ скажем, 5 кОм. Манипулируя номинала­
ментов), то основная методика определя­ ми элементов схемы, можно найти их зна­
ется следующим образом: чения, так чтобы просто удовлетворялось
1. Выберем ОУ с шириной полосы про­ требование 1 путем уменьшения коэффи­
пускания f c, которая по крайней мере в циента передачи интегратора (увеличение
10—20 раз превышает Gf0. значения RF) при соответствующем уве­
2. Подберем округленный номинал кон­ личении коэффициента передачи инвер­
денсатора, ближайший к следующему зна­ тирующего каскада (увеличение значения
чению С = 10/ f 0 мкФ. резистора обратной связи, которое в ис­
3. Используем требуемое значение цент­ ходной схеме составляет 10 кОм).
ральной частоты для расчета значения Для иллю страции предположим, что
сопротивления RF согласно приведенно­ желательно спроектировать фильтр с теми
му выше первому уравнению. же характеристиками, как в последнем
4. Используем второе расчетное уравне­ упражнении. Мы должны начать с того,
ние для вычисления по заданному зна­ что временно зададим С = 0,01 мкФ. Затем
Активные фильтры и генераторы 295

найдем R f = 15,9 кОм (f0 = 1 кГц) и R = 5.09. Двойной Т-образный фильтр-пробка


= 796 кОм (Q = 50; BW = 20 Гц). Нако­
нец, Rc = 79,6 кОм ( G = 10). Изображенная на рис. 5.21 пассивная RC-
цепь имеет бесконечное затухание на час­
Упражнение 5.5. Необходимо спроектировать по­
лосовой фильтр со следующими параметрами: f = тоте, равной / с = \/2nRC . Такое беско­
нечное ослабление для ЛС-фильтров7 во­
= №ru,BW = i r i m e = m l
обще говоря, не характерно — данный
Полосовые фильтры высокого порядка. фильтр действует столь эффективно бла­
Как и для рассмотренных ранее фильтров годаря сложению двух сигналов, которые
нижних и верхних частот имеется возмож­ на частоте среза имеют разность фаз в
ность проектировать полосовые фильтры 180°. Получение достаточно близкого к
более высоких порядков с приблизитель­ нулю значения характеристики на часто­
но плоской полосой пропускания и кру­ те f c требует хорошего согласования эле­
тым переходом к полосе задерживания. ментов. Этот фильтр называется двойным
Это можно сделать с помощью каскад­ Т-образным и может употребляться для ус­
ного соединения нескольких полосовых транения сигнала помехи, например се­
фильтров более низкого порядка, комби­ тевой наводки 60 Гц. Трудность состоит
нируя их таким образом, чтобы обеспе­ в том, что характеристика этой цепи та­
чить характеристику фильтра требуемого кая же «мягкая», как и у всех пассивных
вида (Баттерворта, Чебышева и любые RC -цепей, и лиш ь в окрестности частоты
другие). Как и раньше, фильтр Баттер­ f c обрывается почти отвесно. Например,
ворта имеет «максимально плоскую» ха­ двойная Т-образная цепь, управляемая
рактеристику, в то время как фильтр Че­ идеальным источником напряжения, име­
бышева удовлетворяет требованиям плос­ ет затухание 10 дБ на частоте, равной уд­
кой характеристики в полосе пропускания военной (или половинной) частоте f c, и
при крутых скатах (переходной области). ослабление 3 дБ на частоте, равной учет­
Только что рассмотренные полосовые схе­ веренной (или деленной на четыре) час­
мы, такие как фильтры на ИНУН, би­ тоте f c. Один из способов улучшить харак­
квадратные фильтры и фильтры на ос­ теристику этой цепи — сделать ее «актив­
нове метода переменных состояния, яв­ ной» — по типу фильтра Саллена и Ки
ляются фильтрами второго порядка (два (рис. 5.22). Эта идея кажется в принципе
полюса). Увеличение крутизны характе­ хорошей, но на практике разочаровывает
ристики фильтра путем добавления допол­ из-за невозможности сохранения хороше­
нительных секций приводит, как прави­ го затухания на частоте нуля. Дело в том,
ло, к ухудшению переходной и фазочас­ что при увеличении резкости провала ха­
тотной характеристик. С ама «ш ирина рактеристики (большее усиление в петле
полосы пропускания» полосового фильт­ следящей связи) ослабление на частоте
ра определяется как ширина характерис­ нуля уменьшается.
тики между точ кам и - 3 д Б , кром е, Двойные Т-образные фильтры выпус­
естественно, равноволновых фильтров, каются в виде готовых модулей на диапазон
для которых эта ширина определяется точ­
ками, где характеристика спадает на ве­
личину пульсации в полосе пропускания.
В справочниках по активным фильтрам
Вход ’ Выход
или в технических руководствах по при­
менению ИС активных фильтров приво­
дятся таблицы и методики проектирова­
ния таких сложных фильтров. Существу­
ет также несколько прекрасных программ
по расчету фильтров, которые предназ­
начены для недорогих машин (IBM PC, Рис. 5.21. Пассивный двойной Г-образный фильтр-
Macintosh). пробка.
296 Глава 5

частот от 1 Гц до 50 кГц с глубиной ляется следующим образом:


ослабления на частоте провала около
60 дБ (с некоторы м ухудшением при ■/провала 1 /2 п С yj 3 R ]R 2 ■
высоких и низких температурах). Такие На рис. 5.23 б п оказана реализация
фильтры легко собрать из отдельны х этого фильтра, которая перестраивается
элементов, но для получения глубокого и в диапазоне от 25 до 100 Гц. Подстроеч-
стабильного провала следует выбирать ный резистор с номиналом 50 кОм по­
конденсаторы и резисторы со стабильны­ зволяет установить максимальную глуби­
ми параметрами и низкой температурной ну провала.
зависимостью. Один из элементов дол­ Как и в случае пассивной двойной Т-об-
жен быть регулируемым. разной схемы, этот фильтр (известный как
Двойной Т-образный фильтр функци­ мостовой дифференциатор) имеет пологое
онирует прекрасно при фиксированной нарастание затухания за пределами точки
частоте провала, но основные трудности провала и бесконечное затухание (при ус­
возникают при попытке сделать его пере­ ловии идеального согласования значений
страиваемым, поскольку три резистора всех элементов) на самой частоте прова­
необходимо изменять одновременно, со­ ла. Его также можно «активировать» с
храняя постоянным их соотношение. Од­ помощью подачи на отвод потенциометра
нако замечательная своей простотой изоб­ следящей связи с усилителя напряжения,
раженная на рис. 5.23 а ЛС-схема, кото­ как правило, с коэффициентом передачи
рая ведет себя ан ал о ги ч н о д во й н о й меньше единицы (как на рис. 5.22). Уве­
Т-образной схеме, может перестраиваться личение коэффициента передачи в петле
в широком диапазоне частот (по крайней следящей связи, а именно его приближе­
мере две октавы) с помощью единствен­ ние к единице, сужает ширину провала,
ного потенциометра. Подобно двойному а также приводит к появлению нежела­
Т-образному фильтру (как и большинство тельного пика характеристики со сторо­
активных фильтров), для него требуется ны более высоких частот относительно
провести определенное согласование эле­ провала, наряду со снижением обеспечи­
ментов; в этом случае номиналы всех трех ваемого затухания.
конденсаторов должны быть идентичны,
а значение фиксированного резистора 5.10. Построение фильтров на гираторах
должно точно в шесть раз превыш ать
значение нижнего (регулируемого) рези­ Интересный тип активного фильтра мож­
стора. Сама частота подавления опреде­ но создать с помощью гираторов; в ос-
Активные фильтры и генераторы 297

— Вых

/про,=
а
Подстройка

5.11. Фильтры на переключаемых


конденсаторах

Один из недостатков биквадратных филь­


тров или фильтров, построенных на ос­
нове метода переменных состояния, свя­
зан с необходимостью обеспечения точ­
ного согласования конденсаторов. Если
при построении схемы используются опе­
рационные усилители, то вам следует за­
пастись парой стабильных конденсаторов
(не керамических и не электролитичес­
ких); для обеспечения оптимальных рабо­
чих характеристик точность их согласова­
ния порядка 2%. Необходимо также про­
Рис. 5.23. Регулируемый фильтр-пробка на основе вести м н о го ч и сл е н н ы е к о м м у тац и и ,
мостового дифференцирующего звена. Допускается
поскольку эти схемы содержат по край­
настройка схемы 6 в диапазоне от 25 до 100 Гц.
ней мере три ОУ и шесть резисторов на
каждую двухполюсную секцию фильтра.
новном они используются для замены ка­ С другой же сторон ы , м ож но купить
тушек индуктивности в традиционны х фильтр в виде ИС, предоставляя право
конструкциях фильтров. Распространен­ изготовителям самим решать проблему
ная гираторная схема показана на рис. согласования конденсаторов с номиналом
5.24. Обычно Z4 — конденсатор, а осталь­ 1000 пФ в своей ИС. И, надо сказать,
ные полные сопротивления заменяют ре­ что изготовители ИС успешно решают эти
зисторами, имитируя, таким образом, проблемы, но за счет увеличения их сто­
катушку индуктивности L= кС, где к ~ имости. Например, И С AF100 - «уни­
= R]RiRs/R 2. М о ж н о показать, что эта версальный активный фильтр» производ­
гираторная схема мало чувствительна к ства фирмы National представляет собой
отклонениям параметров, как и ее пас­ гибридную ИС с ценой приблизительно
сивный Л£С-прототип. 10 долларов за штуку.
298 Глава 5

в течение каждого цикла высокочастот­


ного прямоугольного колебания пропор­
ционально напряжению Um (изменение
j&l* которого за один период прямоугольного
колебания предполагается весьма незна­
чительным), т. е. эта схема представляет
собой интегратор! Легко показать, что
ф ун кц ион и рован ие этих интеграторов
_ги описывается приведенными на рисунке
fo у J u„
1 dt
уравнениями.
Упражнение 5.6. Получить представленные на
рис. 5.25 уравнения.
Применение переключаемых конденса­
R I----11— торов вместо обычных интеграторов дает
два существенных преимущества. Первое,
U.------- как было указано ранее, он может быть
менее дорогим при реализации на крем­
ниевой подложке, так как коэффициент
передачи самого интегратора зависит толь­
ко от отношения двух конденсаторов, а
Рис. 5.25. a — интегратор на переключаемых кон­ не их индивидуальных значений. Вообще
денсаторах; б - схема обычного интегратора. говоря, достаточно просто на кремниевой
подложке создать пару любых согласован­
Известен и другой способ построения ных элементов, в то время как получение
интеграторов, которые составляют осно­ подобных элементов (резистора или кон­
ву биквадратных фильтров и фильтров на денсатора) с точными значениями и вы­
основе метода переменных состояния. сокой стабильностью весьма затруднитель­
Главная идея заключается в использова­ но. Вследствие этого м онолитная ИС
нии аналоговых МОП-ключей, синхрони­ фильтра на переключаемых конденсато­
зированных внешним сигналом прямо­ рах очень дешевая, например, универсаль­
угольной формы и высокой частоты (как ный фильтр на переключаемых конденса­
правило, в 100 раз выше, чем у обраба­ торах ф и рм ы N a tio n a l (M F 10) стоит
тываемых аналоговых сигналов), как это 2 долл. (сравните с ценой в 10 долл.
показано на рис. 5.25. На этом рисунке обычного фильтра AF100) и, кроме того,
необычный треугольный объект представ­ в одном корпусе вы получаете сразу два
ляет собой цифровой инвертор, который фильтра!
переворачивает прямоугольное колебание Второе преимущество фильтров на пе­
«вверх ногами», так что два М ОП-ключа реключаемых конденсаторах состоит в воз­
замыкаются на противоположных полу­ можности настройки их частоты (т.е. цен­
волнах этого прямоугольного колебания. тральной частоты полосового фильтра или
Анализ такой схемы весьма прост. При точки — 3 дБ фильтра нижних частот) из­
замыкании ключа 5, происходит заряд менением только частоты входного пря­
конденсатора С, до напряжения UBX, т. е. моугольного колебания («тактовой часто­
он сохраняет заряд Cf Um\ на другой же ты»), Это объясняется тем, что характе­
половине рабочего цикла конденсатор С, ри сти ческая частота биквадратного
разряжается через виртуальную землю, фильтра или фильтра на основе перемен­
передавая свой заряд конденсатору С . ных состояния пропорциональна и зави­
Само же напряжение на конденсаторе ц сит только от коэф ф ициента передачи
изменяется, следовательно, на величину интегратора.
&U = AQ/C2 = UmC JC r Следует отме­ Фильтры на переключаемых конденса­
тить, что выходное напряжение меняется торах выпускаются как в виде специали-
Активные фильтры и генераторы 299

+5 В такое сквозное прохождение тактового


ОД мкФ сигнала нежелательно, то для его подав­
ления обычно используется простой RC-
■H hL
7 - фильтр. Вторая проблема более тонкого
свойства. Если во входном сигнале при­
сутствуют спектральные компоненты, рас­
Вход Вх MF4-100 Вых ' Выход
положенные вблизи частоты тактового
/и в -1 ^ 4
Такт колебания, то они будут «накладываться»
ОД мкф
на полосу пропускания. Сформулируем
+1 Л Г это более корректно, а именно: любые
спектральные компоненты входного сиг­
Вх / Г1„ = юо кГц
-5 В нала, которые отстоят по частоте от так­
Рис. 5.26. тового сигнала на величину, соответству­
ющую частотам полосы пропускания, бу­
зированной, так и «универсальной» струк­ дут присутствовать (неподавленными!) в
туры. Первая структура со встроенными полосе пропускания. Например, при ис­
ком понентам и ф орм ирует полосовы е пользовании ИС MF4 в качестве фильтра
фильтры или фильтры нижних частот, в нижних частот с частотой среза 1 кГц
то время как вторая имеет дополнительные (т. е. при этом f nKi = 100 кГц) все спект­
промежуточные входы и выходы, так что ральные компоненты входного сигнала в
при подключении к ней внешних элемен­ диапазоне от 99 до 101 кГц выделятся в
тов можно получить любую желаемую ха­ полосе выходного сигнала, т. е. в диапа­
рактеристику. При этом платой за такую зоне от постоянного тока до частоты
универсальность является увеличение раз­ 1 кГц. И никакой дополнительный вы­
мера корпуса ИС и необходимость в под­ ходной фильтр не сможет их ликвидиро­
ключении внешних резисторов. Напри­ вать! Таким образом, следует твердо уяс­
мер, автономный ф ильтр Баттерворта нить, что во входном сигнале не должно
нижних частот MF4 фирмы National вы­ быть спектральных составляющих вблизи
пускается в 8-выводном D IP -корпусе и частоты тактового колебания. Если же
стоит 1,3 долл., в то время как их универ­ этого невозможно избежать, то можно, как
сальны й ф ильтр M F5 вы п ускается в обычно, использовать простой ЛС-фильтр
14-выводном D IP -корпусе (ц ен а 1,45 (предфильтр), поскольку частота такто­
долл.), при этом в зависимости от выбора вого сигнала отстоит, как правило, до­
типа фильтра требуется 2 или 3 внешних вольно далеко от полосы пропускания.
резистора. На рис. 5.26 показано, с ка­ Третье нежелательное свойство, присущее
кой легкостью можно использовать эти фильтрам на переключаемых конденсаторах,
специализированные фильтры. связано с типичным снижением динами­
Теперь о неприятном. Фильтры на пе­ ческого диапазона сигнала (возрастание
реключаемых конденсаторах имеют три уровня «шума»), вследствие неполного
«раздражающие» характеристики, кото­ гашения инжекции заряда М ОП-клю ча
рые связаны и обусловлены присутстви­ (см. разд. 3.12). В типовой ИС фильтра
ем периодического тактового сигнала. динамический диапазон составляет 80—
Первое, это сквозное прохождение сигнала 90 дБ.
тактовой частоты, а именно наличие не­ Как и для любой линейной схемы филь­
которого выходного сигнала (с напряже­ трам на переключаемых конденсаторах (и
нием приблизительно от 10 до 25 мВ) с их аналогам на трех ОУ) присущи харак­
частотой тактового колебания, напряже­ терные ошибки усилительных схем, а имен­
ние которого не зависит от прикладывае­ но: выходное напряжение смещения и низ­
мого входного сигнала. Обычно это не кочастотный шум с характеристикой вида
имеет значения, поскольку этот сигнал 1 // Это может стать проблемой, если,
значительно удален от полосы, занимае­ н ап р и м ер , н еж елательн о при подаче
мой обрабатываемым сигналом. Если же на фильтр нижних частот сигнала низкого
300 Глава 5

25,8 кОм
Вход'

Рис. 5.27. Фильтр нижних частот на ИС LTC1062


-5 В с «точной установкой постоянной составляющей».

уровня получать ошибки в виде колеба­


ния среднего значения его постоянной
составляю щ ей. П рекр асн о е реш ен и е
предложили умные головы фирмы Linear
T echnology, ко то ры е п р и д у м а л и -И С
LTC1062 — «фильтр нижних частот с точ­
ным значением постоянной составляю­
щей» (или МАХ280 с улучшенным напря­
жением смещения). На рис. 5.27 пока­
зан способ ее включения. Основная идея
состоит в том, чтобы вывести фильтр из
пути прохождения постоянной составля­
ющей, пропуская низкочастотные компо­
ненты сигнала на выход через пассивную
цепь; сам же фильтр захватывает только
более высокочастотные компоненты сиг­ Рис. 5.28.
нала, где он заваливает характеристику,
шунтируя сигнал на землю. В результате реж екторны х (полоснозаграж даю щ их),
этого ошибка в постоянной составляющей хотя некоторые из них (например AMI
равна нулю, а характерный для переклю­ 3529) спроектированы как фильтры верх­
чаемых конденсаторов шум присутствует них частот. Следует отметить, что сквоз­
только в непосредственной близости от ное прохождение тактового сигнала и эф ­
частоты среза (рис. 5.28). ф ект дискретизации формы выходного
Фильтры на переключаемых конденса­ сигнала (на частоте тактового колебания)
торах широко предлагают такие фирмы- являются особенно надоедливыми в пос­
изготовители, как AMI-Gould, Exar, Natio­ леднем случае, поскольку они попадают
nal и EGG-Reticon. Как правило, можно в полосу пропускания.
располагать частоту среза фильтра (или
центр полосы пропускания) в любом ме­ ГЕНЕРАТОРЫ
сте диапазона частот от постоянного тока
до нескольких десятков килогерц с помо­ 5.12. Введение
щью выбора определенного значения так­
товой частоты. Сама характеристическая Неотъемлемой частью почти любого элек­
частота получается путем деления значе­ тронного устройства является генератор
ния тактовой частоты на фиксированное гармонических или каких-либо других
число, обычно / так1/50 или / аи/Ю0. Боль­ колебаний. Кроме очевидных случаев ав­
шинство ИС на переключаемых конден­ тономных генераторов (а именно генера­
саторах предназначено для построения торы синусоидальных сигналов, генера­
фильтров нижних частот, полосовых или торы каких-либо функций, импульсные
Активные фильтры и генераторы 301

генераторы) источник регулярных коле­ точности заданной формы (как например,


бании необходим в любом периодически генератор горизонтальной развертки ос­
действующем измерительном приборе, в циллографа).
устройствах, инициирующих измерения В следующих разделах мы кратко рас­
или технологические процессы, и вооб­ смотрим наиболее популярные конструк­
ще в любом приборе, работа которого свя­ ции генераторов —от простых релаксаци­
зана с периодическими состояниями или онны х Л С -генераторов до вы сокоста­
периодическими колебаниями. Они при­ бильных кварцевых генераторов. Мы не
сутствуют практически везде. Так, напри­ ставим своей целью дать полный обзор с
мер, генераторы колебаний специальной исчерпывающими деталями, а хотим про­
формы используются в цифровых муль­ сто ознакомить вас с предметом, а также
тимерах, осциллографах, радиоприемни­ рассказать, какие генераторы и когда при­
ках, ЭВМ, в любом периферийном уст­ меняются.
ройстве ЭВМ (накопители на магнитной
ленте или магнитных дисках, устройство 5.13. Релаксационные генераторы
печати, алфавитно-цифровой терминал),
почти в любом цифровом приборе (счет­ Очень простой генератор можно получить
чики, таймеры, калькуляторы и любые следующим образом: будем заряжать кон­
приборы с «многократным отображени­ денсатор через резистор (или источник
ем») и во множестве других устройств, тока), а затем, когда напряж ение дос­
слишком многочисленных, чтобы их здесь тигнет некоторого порогового значения,
перечислять. Устройство без генератора быстро его разрядим и начнем цикл сна­
либо вообще ни на что не способно, либо чала. С другой стороны, это можно сде­
предназначено для подключения к друго­ лать с помощью внешней цепи, обеспе­
му (которое, скорее всего, содержит ге­ чивающ ей изменения полярности тока
нератор). Не будет преувеличением ска­ заряда при достижении некоторого поро­
зать, что генераторы являются таким же гового напряжения; следовательно, будут
необходимым устройством в электрони­ генерироваться колебания треугольной
ке, как регулируемый источник питания формы, а не пилообразные. Генераторы,
постоянного тока. построенные на этом принципе, извест­
В зависимости от конкретного приме­ ны под названием «релаксационные ге­
нения генератор может использоваться нераторы». Они просты и недороги и при
просто как источник регулярных импуль­ умелом проектировании могут обеспечи­
сов («часы» в цифровой системе); от него вать удовлетворительную стабильность по
может потребоваться стабильность и точ­ частоте. Раньше для создания релаксаци­
ность (например, опорный интервал вре­ онных генераторов применялись устройства
мени в частотомере), регулируемость (ге­ с отрицательным сопротивлением, такие
теродин передатчика или приемника) или как однопереходные транзисторы или нео­
способность генерировать колебания в новые лампы, теперь предпочитают ОУ или

Рис. 5.29. Релаксационный генератор на базе ОУ.


302 Глава 5

сигналов происходит точно на уровне на­


пряжения питания. Биполярный ОУ типа
LM10 также имеет максимальный удво­
енный перепад выходного напряжения, но
в отличие от КМ ОП, ОУ позволяет функ­
ционировать при полном напряж ении
± 15 В; однако он имеет гораздо более низ­
кую частоту/; (0,1 МГц).

с< 7 >
"КМОП-инверторы"
(каждый является
Упражнение 5.7. Покажите, что этот период указан
верно.
Применяя для заряда конденсатора ис­
1/6 ИС 74НС04; напряж.
питания +5В) точники тока, можно получить колебания
хорош ей треугольной формы. П ример
Рис. 5.30.
удачной схемы, использующей этот ме­
специальные интегральные схемы тайме- тод, приведен в разд. 4.29.
ров. На рис. 5.29 показан классический Иногда необходим генератор с очень
релаксационный ЛС-генератор. Работает низким уровнем шума (так называемый
он просто: допустим, что при начальном «низкий внеполосный шум»), В этом от­
включении питания выходной сигнал ОУ нош ении хороша простая схема, пока­
выходит на положительное насыщение занная на рис. 5.30. В ней используется
(каким образом это произойдет — неваж­ пара КМ ОП-инверторов (в виде цифро­
но). Конденсатор начинает заряжаться до вых логических схем, которые будут под­
напряжения U+ с постоянной времени, робно рассматриваться в гл. 8- 11), со­
равной RC. Когда напряжение на конден­ единение которых между собой образует
саторе достигнет половины напряжения некоторую разновидность RC релаксаци­
источника питания, ОУ переключается в онного генератора с выходным сигналом
состояние отрицательного насыщения (он в виде прямоугольного колебания. Реаль­
включен как триггер Шмитта) и конденса­ ные измерения, приведенные для этой
тор начинает разряжаться до U _ с той же схемы, работающей на частоте 100 кГц,
самой постоянной времени. Этот цикл показали, что плотность мощности шума
повторяется бесконечно, с периодом 2,2 в ближайшей боковой полосе (мощность на
R С, который не зависит от напряжения корень квадратный из герц, измеренная
источника питания. Выберем ОУ с КМОП на 100 /^см ещ ен и я от генерируемой час­
выходным каскадом (см. разд. 4.11 и тоты), ниже по крайней мере на 85 дБ уров­
4.12), поскольку насыщение его выходных ня основного колебания. Иногда встре-

+ 4,5В

1 мкф 74HCU04 (низк. уровень шума)


т Т

/ Л 1N5290
V i (0,47мА)
или
74НС04 (вы сок, уровень шума)

5,1 кОм

I— 1Ь >
d ^ 4 > 4 > i
1 мкФ 5,1 кОм J .
Вход _ | | — I— T - ^ i ------ К Т1
модуляции К MPSA18
N" 90 пФ

5,1 кОм

1
1N914

Рис. 5.31. Малошумящий генератор.


Активные фильтры и генераторы 303

чается аналогичная схема, но при пере­ напряжения источника питания, чем дру­
мене местами элементов Л2 и С. Хотя это гие рассмотренные в этой главе генера­
и превосходный генератор, но он имеет торы.
крайне зашумленный выходной сигнал.
Представленная на рис. 5.31 схема имеет 5.14. Классическая ИС таймера — 555
даже более низкий уровень шума и, кро­
ме того, имеется возможность модулиро­ Следующ ий уровень слож ности п ред­
вать выходную частоту с помощью внеш­ полагает использование в качестве релак­
него тока, прикладываемого к базе тран­ сационных генераторов И С таймеров или
зистора Ту В этой схеме транзистор Тх ИС генераторов колебаний специальной
функционирует как интегратор, выраба­ формы. Наиболее популярная ИС тайме­
тывая на своем коллекторе сигнал асим­ ра - это схема 555 (и ее разновидности).
метричной треугольной формы. Сами же Работа этой ИС часто толкуется неверно,
инверторы работают в качестве неинвер­ поэтому мы дадим анализ ее работы пря­
тирующего компаратора, изменяя поляр­ мо по изображенной на рис. 5.32 эквива­
ность возбуждения на базе каждые полпе­ лентной схеме. Некоторые обозначения
риода. Эта схема имеет плотность ш у­ на ней относятся к области цифровой тех­
ма - 90 дБ/VTu, измеренную на частоте ники (гл. 8 и следующие), поэтому вы
100 Гц смещения от несущего колебания пока еще не станете экспертом по ИС 555.
150 кГц, и -1 0 0 дБ/^Гц, измеренную при Но принцип действия этого таймера дос­
смещении 300 Гц. Хотя эти схемы пре­ таточно прост. При подаче сигнала на
восходны в отношении уровня боково­ вход ТРИГГЕР выходной сигнал переклю­
го шума, генерируемая частота имеет чается на ВЫСОКИЙ уровень (около £/кк)
большую чувствительность к колебаниям и остается в этом состоянии до тех пор,

Рис. 5.32. Упрощенная эквивалентная схема ИМС 555.


304 Глава §

+10 f когда выходной сигнал имеет ВЫ СОКИЙ


уровень, транзистор разряда Г, закрыт
и конденсатор начинает заряжаться до
10 В через резисторы ЯА + Rv. Когда его
напряжение достигнет 2/3 f/KK, переключа­
ется вход ПОРОГ и выходной сигнал пе­
реходит в состояние НИЗКО ГО уровня,
одноврем ен н о происходит отп ирани е
транзистора 7j, разряжающего конденса­
тор С на землю через резистор R B. Схема
переходит в периодический режим рабо­
ты, и напряжение на конденсаторе С ко­
леблется между зн ач ен и ям и 1/3 UKK и
2 /3 UKK с периодом Т = 0,693(У?А + 2RB)C.
В этом случае с выхода схемы обычно
снимается колебание прямоугольной фор­
Рис. 5.33. ИМС 555, включенная как генератор. мы.
пока не произойдет переключение входа Упражнение 5.8. Покажите, что период колеба­
ний не зависит от напряжения источника питания.
ПОРОГ; в этот момент выходной сигнал
падает до НИЗКОГО уровня (около по­ Схема 555 представляет собой довольно
тенциала «земли») и тогда включается приличный генератор со стабильностью
тран зи стор РАЗРЯД. Вход Т Р И Г Г Е Р около 1%. Она может работать от един­
включается при уровне входного сигнала ственного и сточни ка п итани я н ап р я ­
меньше l/3 f/KK, а ПОРОГ — при уровне жением от 4,5 до 16 В, сохраняя стабиль­
входного сигнала больше 2/3 UKK. ную частоту при изменениях напряжения
Наиболее легкий способ понять работу источника питания, поскольку пороги сле­
ИС 555 —это рассмотреть конкретный при­ дят за флуктуациями питания. Схему 555
мер (рис. 5.33). При включении источ­ можно применять также для форм иро­
ника питания конденсатор разряжен, по­ вания одиночных импульсов произволь­
этому ИС 555 оказывается в состоянии, ной длительности и еще для многих це-

Таблица 53. Генераторы серии 555


Тип Изгото­ Кол-во Напряжение Ток потреб- Ток входа Максимальная Темпера-
витель о в корпусе питания, ления триггер, частота турныи
В на один порог, (1/и= 5В), коэффи­
генератор нА МГц циент,
((/„ = 5В), ю -у с
мкА
1 2 4 мин. макс. тип. макс. тип. макс. мин. тип.

555 SN+ V V - 4,5 18 3000 5000 100 500 - 0,5 30


ICL7555 IL V V - 2 18 60 300 - 10 - 1 150
TLC551 TI V V - 1 18 170 - 0,01 - - 2,121 -
TLC555 TI V V - 2 18 170 - 0,01 - - 2,121 -
LMC555 NS V - - 1,5 15 100 250 0,01 - - 3 75
ALD555-1 AL V - - 1 12 100 180 0,001 0,2 1,4 2 300
ALD1504 AL V V - 1 12 50 90 0,01 0,4 1,5 2,5 300
ALD4503 AL - - V 1 12 35 70 0,01 0,4 - 2 300
XR-L555M XR V - - 2,7 15 150 300 500 - - - 30
'* См. прим. к табл. 4.1. 2) Означает, что выходной каскад имеет максимальный удвоенный перепад.
Активные фильтры и генераторы 305

лей. К тому же этот небольшой кристалл +10 в


содержит простые компараторы, вентили
и триггеры. В электрон ной п ром ы ш ­
ленности даже появилась игра — приду­
мать еще новое применение схемы 555.
И надо сказать, что многие в этом раз­
влечении преуспевают.
Предостережение: ИС 555, как и другие
схемы т а й м е р о в , с о зд а е т м ощ ную
(«150 мА) токовую помеху в цепи пита­
ния во время каждого переключения вы ­
ходного сигнала. Будет весьма полезным
подключить к этой интегральной схеме
здоровенный шунтирующий конденсатор.
Кроме того, ИС 555 имеет склонность к
ф орм ированию вы ходного си гн ала с
удвоенной частотой переключений.
КМ ОП ИС 555. Некоторые из непри­
ятных свойств ИС 555 (большой ток по­ Рис. 5.34. Генератор с укороченным рабочим циклом.
требления от источника питания, высо­
кий ток запуска, удвоенная частота пере­ Следует отметить, в частности, их спо­
клю чения вы ходного си гн ал а и н е ­ собность функционировать при очень низ­
способность функционировать при очень ких напряжениях питания (до 1 В!) и, как
низких напряжениях источника питания) правило, токе потребления. Эти кристал­
были устранены в ее КМОП-аналогах. Их лы также более быстродействующие, чем
можно узнать по цифрам «555», располо­ исходная схема 555. Выходные КМ ОП-ка-
женным в какой-либо части маркировки. скады дают максимальный удвоенный пе­
В табл. 5.3 представлено большинство из репад напряжения выходного сигнала, по
этих схем, которые мы смогли найти, а крайней мере при низких токах нагрузки
также их наиболее важные параметры. (отметим, что эти кристаллы не имеют
мощного выходного каскада, как в типо­
вой схеме 555). Все перечисленные крис­
таллы (табл. 5.3), кроме исходной схемы
555 и XR-L555, сделаны по КМОП-техно-
логии. Последняя же схема является мик-
ромощной биполярной схемой 555 и про­
тип. Макси­ I , макс, являет свою родословную в виде здоро­
мальный (#И = 5 В,
двойной Чы/ = 2,5 В) в ен н о й н а гр у зо ч н о й с п о с о б н о с т и и
перепад 21 хорошей температурной стабильности.
Показанный на рис. 5.33 генератор на
схеме 555 вырабатывает выходной сигнал
'и . 'и . 1г
в мА В мА мА м А прямоугольной формы, чей рабочий цикл
(часть времени, когда выходной сигнал
1,4 2 0,1 10 200 200
1 2 0,5 10 V 4 25 имеет ВЫ СОКИЙ уровень) всегда боль­
1 2 0,2 10 V - - ше 50%. Это происходит вследствие того,
1 2 0,2 10 V - - что времязадающий конденсатор заряжа­
0,3 2 0,3 10 V - - ется через последовательно включенную
0,4 2 0,2 10 V 3 100
0,4 2 0,2 10 V 10 100
пару резисторов RA + RB, а разряжается
0,4 2 0,2 10 V 3 100 (более быстро) через единственный ре­
1,7 10 0,3 2 100 - зистор Лв. На рис. 5.34 показано, как об­
мануть схему 555, с тем чтобы получить
31 При = 1,2 В.
в рабочем цикле узкие положительные
306 Глава 5

+15 В показан способ использования для этих


целей простого источника тока на р —п —р-
транзисторе. Пилообразный сигнал дохо­
дит до напряжения 2/3 UKK, затем быстро
спадает (разряд происходит через внутрен­
н ий разряж аю щ ий п —р —п -тран зи стор
схемы 555, контакт 7) до напряж ения
1/ЗС/кк, далее цикл начинается снова. От­
метим, что этот сигнал пилообразной
формы выделяется на выводе кон ден ­
сатора и необходимо обеспечить его раз­
вязку с помощью ОУ, который обладает
высоким полным сопротивлением. Эту
схему можно еще упростить путем заме­
ны и сточни ка тока н а р-и -р -тр ан зи с-
торе на «диодный регулятор тока», вы­
полненны й на полевом транзисторе с
Рис. 5.35. Генератор пилообразных колебаний. р —я-каналом (разд. 3.06); однако ее ра­
бочие характеристики, а именно линей­
импульсы. Цепь, состоящая из комбина­ ность пилообразного сигнала, будут хуже,
ции диода и резистора, быстро заряжает поскольку этот полевой транзистор пита­
времязадающий конденсатор через выход­ ется током /с кк и при этом формирует­
ной каскад, разряд же его через внутрен­ ся не такой хороший источник тока, как
ний разряжающий транзистор происходит на биполярном транзисторе.
медленно. Этот трюк пригоден только для На рис. 5.36 указан простой способ
КМОП схем 555, поскольку в этом случае формирования с помощью КМОП-схемы
необходим полный положительный пере­ 555 сигнала треугольной формы. В пред­
пад выходного сигнала. ложенной схеме соединяются последова­
При использовании для заряда время- тельно два регулятора тока на полевом
задающего конденсатора источника тока транзисторе таким образом, чтобы полу­
можно создать генератор линейного («пи­ чился двунаправленный регулятор тока
лообразного») напряжения. На рис. 5.35 (каждый регулятор тока ведет себя в об­

1к|ч
I В ы ход треугольн.
колебания

Рис. 5.36. Генератор треугольных колебаний.


Активные фильтры и генераторы 307

ратном направлении как обычный диод, чик, с тем чтобы при формировании сиг­
из-за проводимости затвор—сток). Следо­ налов большой длительности избежать
вательно, с помощью выходного сигнала необходимости использования в схеме
с удвоенным максимальным перепадом больших номиналов сопротивлений и кон­
формируется постоянный ток противо­ денсаторов. Примером таких схем могут
положной полярности и при этом на са­ служить схемы 74НС4060, Ехаг 2243 и
мом конденсаторе вырабатывается треу­ Intersil ICM7242 (выпускается также ф ир­
гольное колебание (напряжение которого мой Maxim). Последняя схема выполне­
обычно лежит в дипазоне от 1/2 t/KK до на по КМОП-технологии и может функ­
2/3i/KK). Как и в предыдущей схеме, для ционировать при токе в доли миллиампе­
развязки этого сигнала (источник с вы­ ра и вырабатывать выходной импульс один
соким полным выходным импедансом) раз за 128 циклов генератора. Эти тайме­
используется ОУ. Следует отметить, что ры (и их ближайшие аналоги) пригодны
в этом случае необходимо прим енять для формирования задержки сигнала в
КМОП-схему 555, в частности при пода­ диапазоне от нескольких секунд до не­
че на схему напряжения питания + 5 В, скольких минут.
поскольку ее функционирование зависит
от максимального двойного перепада вы­ 5.15. Генераторы,
ходного напряжения. Например, напря­ управляемые напряжением
жение выходного сигнала ВЫСОКОГО
уровня биполярной схемы 555 в типовом Остальные ИС генераторов выпускаются
случае ниже максимального положитель­ обычно в виде генераторов, управляемых
ного перепада на падение напряжения на напряжением (ГУН), у которых выходной
двух диодах (схема Дарлингтона на п-р-п- сигнал изменяется в некотором диапазоне
транзисторах), что составит +3,8 В при в соответствии с управляющим входным
напряжении источника питания +5 В; напряжением. Некоторые из этих схем име­
следовательно, остается всего 0,5 В паде­ ют частотные диапазоны, превосходящие
ния напряжения (при верхнем значении 1000:1. Примерами таких схем являются
сигнала) на последовательно включенную исходная ИС NE566 и более новые ИС:
пару регуляторов тока, что явно недоста­ LM331, 8038, 2206 и серии 74LS624-9.
точно для включения регулятора тока (тре­ Схемы серии 74LS624, например, спо­
буется приблизительно 1 В) и последова­ собны работать на вы соких частотах
тельного диода (0,6 В), построенного из вплоть до 20 М Гц, требуют внеш ней
полевого транзистора с /?-я-переходом. AC-цепи для установления номинальной
частоты и формируют выходные сигналы
Упражнение 5.9. Покажите, что вы уяснили работу с обычными логическими уровнями. Бо­
схем, изображенных на рис. 5.35 и 5.36; для этого
рассчитайте для каждой схемы частоту генерируемого лее быстродействующие схемы ГУН, та­
сигнала. кие как 1648, могут функционировать в
диапазоне до 200 МГц и в гл. 13 будет
Существует еще несколько других ин­ показано, как создать ГУН для гигагер­
тересных интегральных схем таймеров. цевого диапазона частот. Схема LM331
Схема таймера 322 фирмы National имеет фактически представляет собой пример
собственный встроенный прецизионный преобразователя н а п р я ж е н и е-ч а сто та
источник опорного напряжения, с помо­ (U /F -преобразователь) с хорошей линей­
щью которого задается напряжение поро­ ностью (эти приборы мы рассмотрим в
га. Это объясняет его прекрасные свой­ разд. 9.20 и 9.27). В тех же случаях, ког­
ства при формировании сигнала, частота да линейность является определяющим
которого должна быть пропорциональна фактором, предпочтительны современные
току, подаваемому от внешнего источни­ U /F преобразователи типа AD650, обес­
ка, например с фотодиода. В состав дру­ печивающие линейность 0,005%. В боль­
гой разновидности таймеров входят релак­ шинстве схем ГУН используются внутрен­
сационный генератор и цифровой счет­ ние источники тока для формирования
308 Глава 5

+ 5В

треугольных импульсов, а схемы 8038 и 5.16. Квадратные генераторы


2206 даже имеют набор «мягких» клемм
для преобразования с помощью ограни­ Время от времени возникает потребность
чителя треугольных импульсов в гармо­ в генераторах, которые формируют одно­
нические колебания. Иногда в ИС ГУН временно пару одинаковых по амплитуде
используются неудобные значения опор­ колебаний синусоидальной формы, но
ного напряжения в качестве сигнала уп­ сдвинутых по фазе на 90°. Эту пару сигна­
равления (н ап р и м ер п олож ительн ы й лов можно рассматривать как синусои­
источник питания) и усложненные сим­ дальное и косинусоидальное колебания,
метрированные схемы для получения мы же будем придерживаться термина квад­
синусоидального сигнала. По нашему ратурная пара сигналов (сигналы «в квад­
мнению, идеальный ГУН все еще ждет ратуре»), Наиболее важны такие сигналы
своей разработки. Ко многим из этих ИС в радиосвязи (квадратурные смесители,
могут подключаться внешние кварцевые схемы формирования однополосных сиг­
резонаторы для повышения их точности налов). Кроме того, дальше будет показа­
и стабильности (это мы обсудим позже); но, что такая квадратурная пара сигналов
в таких случаях кварцевый резонатор про­ всегда необходима для ф орм ирования
сто устанавливается вместо конденсатора. сигнала с любой произвольной фазой.
На рис. 5.37 показана схема ГУН с диа­ Первая мысль, которая сразу возника­
пазоном выходного сигнала от 10 Гц до ет, - это как подавать сигнал синусоидаль­
10 кГц, построенная на основе схемы ной формы на интегратор (или дифферен­
LM331. циатор), чтобы на его выходе появился
При обзоре интегральных схем ГУН сдвинутый на 90° сигнал косинусоидаль­
нельзя не обратить внимание на ИС фазо­ ной формы. При этом сигнал имеет пра­
вой автоматической подстройки частоты вильный фазовый сдвиг, но его амплиту­
(ФАПЧ), в состав которых входят ГУН да испорчена (поймите почему). Далее
и фазовый детектор. Например, популяр­ предлагаются некоторые способы реше­
на КМОП-схема 4046 (и ее более быстро­ ния этой задачи.
действующий аналог 74НС4046). Систе­ Резонатор на переключаемых конденса­
мы ФАПЧ будут рассмотрены в разд. торах. На рис. 5.38 показан способ ис­
9.27-9.31. В табл. 5.4 представлено боль­ пользования ИС фильтра на переключае­
шинство из имеющихся схем ГУН. мых конденсаторах MF5 в режиме само-
Активные фильтры и генераторы 309

Таблица 5.4. ГУН


Тип Изгото- Се- Макси- Выхо- Напряжение Линей- Примечания
витель" рия21 мальная ды3> питания, В ность
частота, при
МГц мин макс 10 кГц, %

VFC32 вв+ л 0,5 ОК ±9 ±18 0,01 Промышленный стандарт;


хорошая линейность
VFC62C ВВ л 1 ОК ±13 ±20 0,002 Прекрасная линейность
VFC110B ВВ л 4 ОК ±8 ±18 0,005 Быстродействующий, пре-
красная линейность; вну­
треннее
* Um
03
74S124 TI т 60 ПК 4,75 5,25
74LS624-9 TI т 20 ПК 4,75 5,25
74LS724 Т1 т 16 ПК 4,75 5,25 Мини-DIP
215 ХР л 35 ПК 5 26 ФАПЧ
LM331 NS л 0,1 ОК 4 40 Дешевый; хорошая линей­
ность
AD537 AD л 0,1 ОК 4,5 36 0,07
566 SN л 1 ПК, т 10 24
AD650 AD л 1 ОК ±9 ±18 0,005 Прекрасная линейность
AD654 AD л 0,5 ОК 4,5 36 0,14> Дешевый
1648 МО э 200 и - 5 ,2
1658 МО э 130 и -5 ,2
XR2206 XR л 0,5 п к ,т ,с к 10 26 2 0,5% искажений при сину­
соид, сигнале (подстраи­
вается)
XR2207 XR л 0,5 п к ,т 8 26 1
XR2209 XR л 1 п к ,т ±4 + 13 1
XR2212 XR л 0,3 ПК 4,5 20 ФАПЧ
XR2213 XR л 0,3 ПК 4,5 15 ФАПЧ
4024 МО т 25 ПК 4,75 5,25
4046 RC+ к 1 ПК 3 15 КМОП ФАПЧ
НС4046 RC+ к 154’ ПК 3 6 Быстродействующий 4046
4151 RA л 0,1 ОК 8 22 0,013
4152 RA л 0,1 ОК 7 18 0,007
4153А RA л 0,5 ОК ±12 ±18 0,002 Прекрасная линейность,
легкость использования
8038 IL л 0,1 п к ,т ,с к 10 30 0,2 Ехаг 8038 до 1 МГц
TSC9401 TP л 0,1 ОК ±4 ±7,5 0,01 U/F, линейный, стабильнь
" См. прим. к табл. 4.1 21 Серии: К -К М О П ; Э -Э С Л ; Л -линейная; Т -Т Т Л . 3) Выходы: ОК - открытый
коллектор; И-импульсы; ПК - прямоугольное колебание; С К - синусоидальное колебание; Т- треугольное.
41 При 250 кГц.

возбуждающегося полосового фильтра, синусоидальный сигнал и таким образом


который формирует пару квадратурных п о д д ер ж и в ается ген ер а ц и я . В ходное
сигналов синусоидальной формы. Наибо­ прямоугольное колебание тактовой часто­
лее простой способ понять ее работу —это ты (такт) задает центральную частоту по­
предположить, что на выходе уже при­ лосы пропускания, следовательно, сама
сутствует сигнал синусоидальной формы; частота генерации в этом случае составит
далее компаратор преобразует его в прямо­ /„„/100. Эта схема пригодна для работы
угольное колебание с небольшой амплиту­ в диапазоне частот от нескольких герц
дой (падение напряжения на одном диоде), до приблизительно 10 кГц и формирует
которое снова подается на вход фильтра. квадратурную пару синусоидальных сиг­
Фильтр обладает узкой полосой пропус­ налов с равными амплитудами. Следу­
кания (Q = 10), так что он преобразует ет отметить, что эта схема дает «ступен­
это прямоугольное колебание в выходной чатую» аппроксимацию синусоидальной
310 Глава 5

ЛИЛ.

формы выходного сигнала вследствие Упражнение 5.10. Докажите последнее утвержде­


того, что переключаемый фильтр дает ние.
квантованный выходной сигнал. У схемы AD639 имеется также выход
Генератор колебаний специальной фор­ п рец и зи он н ого оп орного нап ряж ени я
мы (аналоговые тригонометрические фун­ + 1,8 В, что существенно облегчает ее при­
кции). Фирма Analog Devices изготовляет менение. Следовательно, если на пару ИС
интересную нелинейную «функциональ­ AD639 подать треугольное колебание с
ную И С», которая преобразует входное амплитудой 1,8 В, то можно получить пару
напряжение в выходной сигнал, пропор­ квадратурных сигналов синусоидальной
циональный sin(yl С/вх), где коэффициент формы, как это показано на рис. 5.39.
усиления А имеет фиксированное значе­ Рабочий диапазон частот этой ИС лежит в
ние, равное 50°/В. Как правило, этот кри­ пределах от постоянного тока до прибли­
сталл (AD639) может на самом деле вы­ зительно 1 МГц.
полнять гораздо больше ф ункций. Он Просмотровая таблица (поиск элементов
вырабатывает четыре выходных сигнала, при помощи просмотра). Это цифровая
называемые Хх, Х2, Yt и Y2, и формирует методика, которую вы полностью освои­
выходной сигнал, напряжение которого те только после изучения гл. 9. Основная
определяется следующим образом: Ut х = идея состоит в том, чтобы запрограмми­
= sin(A'l - Ar2)/sin( У, - X,). Таким обра­ ровать цифровую память большого объе­
зом, если, например, установить Х х = ма цифровыми значениями (выборками)
= = 90° (т. е. + 1,8 В), У2 = 0 (закоротка синуса и косинуса, аргументы которых
на «землю»), а входное напряжение пода­ выбираются через равноотстоящие угло­
вать на вход Х„ то вырабатывается сиг­ вые промежутки (скажем, через Г). Тогда,
нал вида cos(X). быстро последовательно перебирая адре-
Активные фильтры и генераторы 311

Тв "ААА;
Вход треугольн. 1 $in(^-X2)
колебания

■Выход
1,8 В, 6 7 Y Т синусоид,
опорн.
8 У s in ^ - v y
1 колебания

2
1
A D 639
> (2 ш т.)

sin(X, -Х 2'

Выход
1,8 В, косинусоид.
опорн. '$ > г колебания
О MY, - Yt)

Рис. 5.39. Генератор тригонометрических функций.

са этой памяти, можно получить коле­ частота вырабатываемого выходного ко­


бание синусоидальной формы, для этого лебания. При использовании стандартных
считанные из памяти по каждому адресу ЦАП с временем преобразования не более
цифровые значения (т.е. для последо­ одной микросекунды, можно получить
вательности угловых аргументов) подают­ синусоидальны е сигналы с частотами
ся на пару цифроаналоговых преобразо­ вплоть до нескольких десятков килогерц,
вателей (ЦАП). полагая, что шаг углового аргумента со­
Этот метод имеет следующие недостат­ ставляет порядка одного градуса. Для са­
ки. Как и в случае резонатора на пере­ мих же ЦАП характерно наличие в мо­
ключаемых конденсаторах, выходной сиг­ мент переключения больших остроконеч­
нал имеет ступенчатую форму, поскольку ных выбросов напряжения («кратковре­
он формируется из набора дискретных менная импульсная помеха»). Эти пол­
напряжений по одному на содержимое норазрядные кратковременные импульс­
каждой ячейки памяти. Можно, конечно, ные помехи возникают, даже если пере­
для сглаживания выходного сигнала по­ ключение происходит между смежными
ставить фильтр нижних частот, но, делая (ближайшими) уровнями выходного на­
это, нельзя перекрыть широкий диапазон пряжения! В гл. 9 будут предложены спо­
частот, поскольку нужно выбирать такой собы реш ения этой проблемы. Разряд­
фильтр нижних частот, чтобы он про­ ность имеющихся в распоряжении ЦАП
пускал само синусоидальное колебание и достигает 16 (в этом случае разрешающая
в то же время подавлял более высокую способность составляет единицу из 65536
частоту выборки (такая же проблема ха­ значений).
рактерна и для резонатора на переклю­ Генератор на основе метода переменных
чаемых конденсаторах). В этом случае состояния. Все предложенные ранее мето­
помогает сокращение углового интервала ды требуют выполнения некоторой тяже­
между соседними значениями, но тогда лой работы. К счастью, сотрудники дру­
соответственно снижается максимальная жественной фирмы Burr-Brown провели
312 Глава 5

сигнала синусоидальной формы форми­


ровать на выходе пару синусоидальных
сигналов, имеющих разность фаз при­
близительно 90°. В радиотехнике это на­
зывается «фазовым» методом формирова­
ния однополосного сигнала (благодаря
Weaver), где предназначенный для пере­
дачи входной сигнал состоит из сигналов
речевого диапазона.
Диодный ограничитель
Я, К сожалению, этот метод работает удов­
при
у U„О= И Uпиода')
— (U.. + летворительно только в ограниченном
диапазоне частот и требует точного под­
Рис. 5.40. бора номиналов резисторов и конденса­
торов. Более приемлемый способ форми­
эту работу дома и вышли на рынок с мо­ рования широкополосных квадратурных
делью 4423, которая представляет собой сигналов основан на использовании «цепи
«прецизионный квадратурный генератор». с упорядоченными фазовыми сдвигами»,
В нем используется стандартная схема которая представляет собой регулярную
полосового фильтра на основе метода пе­ структуру, состоящую из резисторов с
ременных состояния, выполненная на трех равными номиналами, а номиналы кон­
ОУ (рис. 5.18), где выходной сигнал че­ денсаторов уменьшаются в геометрической
рез диодный ограничитель подается на прогрессии, как это указано на рис. 5.41.
вход (см. рис. 5.40). Она предназначена На вход этой цепи подаются два сигнала,
для работы в диапазоне частот от 0,002 Гц а именно прямой и сдвинутый на 180° (это
до 20 кГц и при этом она демонстрирует легко сделать с помощью инвертора с еди­
высокую стабильность фазового сдвига, ничным коэффициентом передачи). Вы­
ам плитуды и частоты (м ак си м а л ьн о ходной сигнал представляет собой набор
10-4 1/°С). Схема 4432 является модуль­ из четырех квадратурных сигналов и при
ной (а не монолитной ИС) и выпускается использовании 6-секционной цепи их по­
в 14-выводном стандартном D IP -корпусе грешность составляет ±0,5° в диапазоне
при цене 24 долл. в малых партиях. частот 100:1.
Фильтры на схеме с упорядоченными Квадратурные колебания прямоугольной
фазовыми сдвигами. Известны изощрен­ формы. В некоторых случаях формирова­
ные схемы /fC-фильтров, которые обла­ ние квадратурных сигналов прямоуголь­
дают способностью при подаче на их вход ной формы является несложной задачей.

Рис. 5.41. Цепь с упорядоченными фазовыми сдвигами.


Активные фильтры и генераторы 313

Основная идея заключается в том, чтобы однако его амплитуда при регулировке
сформировать сигнал удвоенной частоты, фазы меняется, спадая на 3 дБ при фазе
затем поделить его в два раза с помощью 45°. М етод достаточно просто мож но
цифрового триггера (гл. 8) и декодировать распространить и на случай формирова­
на вентилях (снова гл. 8). Это наиболее ния колебания, ф аза которого должна
совершенный способ формирования квад­ лежать в диапазоне от 0 до 360°, при этом
ратурных прямоугольных колебаний в ди­ используются противоположные сигналы
апазоне частот от постоянного тока до, (фазовый сдвиг 180°) / ' и Q ', которые
по крайней мере, 100 МГц. получаются с помощью инвертирующих
Квадратурные сигналы диапазона ра­ усилителей с коэффициентом передачи
диочастот. В диапазоне радиочастот (выше - 1.
нескольких мегагерц) формирование пары
квадратурных сигналов синусоидальной 5.17. Мостовые генераторы Вина и
формы снова достаточно тривиальная за­ 1С-генераторы
дача; в этом случае используются прибо­
ры, которые называются квадратурными Для получения сигнала синусоидальной
гибридными схемами (или квадратурные формы сигнала с малыми искажениями
расщепитель/объединитель). На н и зк о ­ ни одна из описанных ранее схем, вооб­
частотной границе радиочастотного диа­ ще говоря, не подходит. Хотя в большин­
пазона (от нескольких мегагерц до, мо­ стве функциональных генераторов на ш и­
жет быть, 1 ГГц) они принимают форму рокий диапазон частот используется «раз­
небольших трансформаторов с магнитным мывание» колебаний треугольной формы
сердечником, в то время как на более с помощью диодных ограничителей, в
высоких частотах нужно найти их вопло­ конце концов уровень искажений редко
щение в форме полосковых линий пере­ удается снизить до значений, меньших
дачи (полоски и печатные проводники, 1%. Для сравнения: большинство высо­
изолированные от заземленной подлож­ кокачественных звуковых колонок требу­
ки) или световодов (полая прямоуголь­ ют усилителей с уровнем искажений не
ная трубка). Эти вопросы снова будут больше 0,1%. Для испытаний подобной
рассмотрены в гл. 13. Методика доста­ звуковоспроизводящей аппаратуры требу­
точно узкополосная, типовая ширина ра­ ются источники чистого синусоидально­
бочей частоты не п ревы ш ает октаву го сигнала с остаточным искажением не
(т. е. соотношение частот 2:1). больше 0,05%.
Формирование синусоидального колеба­ На низких и средних частотах хорошим
ния с произвольной фазой. Поскольку у источником синусоидальных колебаний с
нас уже имеется пара квадратурных сиг­ малым уровнем искажений служит м о­
налов, достаточно просто сформировать стовой генератор Вина (рис. 5.42). Идея
синусоидальное колебание с произвольной его состоит в том, чтобы создать усили­
фазой. В этом случае требуется просто тель с обратной связью, имеющий сдвиг
объединить синфазный (I) и квадратур­ фазы 180° на нужной частоте, а затем от­
ные сигналы ( Q) на резистивном сумма­ регулировать петлевое усиление таким
торе, что наиболее просто реализуется с образом, чтобы возникли автоколебания.
помощью потенциометра, включенного Для одинаковых значений Л и С коэф ф и­
между / и Q сигналами. При вращении циент усиления по напряжению выходно­
движка потенциометра эти сигналы ( I и го сигнала по отношению к сигналу на
Q) суммируются в различных соотн о­ неинвертирующем входе ОУ должен быть
шениях, при этом удается получить плавное точно равен +3,0. При меньшем усилении
изменение фазы в диапазоне от 0 до 90°. колебания затухают, при большем — вы­
Если же рассматривать эту проблему с ходной сигнал будет достигать насыще­
точки зрения векторов, то можно пока­ ния. Искажение будет малым, пока ам­
зать, что фаза результирующего колеба­ плитуда колебаний не выходит за пределы
ния совершенно не зависит от частоты; линейного участка характеристики усили-
314 Глава 5

R
4ZU -
Лампа 327 Е Е ±с

Выход

10 кОм

i 1 N 9 14

2 N 5 45 7 LM 103

6,8
кОм
§ Г 7
О 2,2 мкФ

Рис. 5.42. Мостовые генераторы Вина с малыми искажениями. Выходная частота / = 1/2nRC.

теля, т. е. не следует допускать колебаний руемую синусоидальную волну, пытаясь


полного размаха. Если не применить не­ регулировать ее амплитуду в пределах од­
которых приемов для управления усиле­ ного периода.
нием, то именно это и произойдет — вы­
ходной сигнал усилителя будет возрас­ 5.18. /.С-генераторы
тать до уровня, при котором эффективный
коэффициент усиления вследствие насы ­ Наиболее распространенный способ по­
щения упадет до 3,0. Как мы увидим, эти лучения высокочастотных синусоидальных
приемы включают в себя некую управля­ колебаний — это применение генератора,
ющую усилением обратную связь с боль­ стабилизированного /.С-контуром, в ко­
шой постоянной времени. тором LC-контур, настроенный на опре­
В первой схеме на рис. 5.42 в качестве деленную частоту, подключен к усили­
элемента обратной связи с переменным тельной схеме, чтобы обеспечить необхо­
сопротивлением используется лампа на­ д и м ое уси лен и е на его р езо н ан сн о й
каливания. При повышении уровня вы­ частоте. Охватывающая схему петля по­
ходного сигнала нагревается нить лампы, ложительной обратной связи применяет­
уменьшая коэффициент неинвертирую­ ся для поддержания колебаний на резо­
щего усиления. Искажения гармоничес­ нансной частоте LC-контура и такая схема
кого сигнала в показанной схеме для диа­ будет самозапускаюшейся.
пазона звуковых частот (выше 1 кГц) не На рис. 5.43 показаны две популярные
превышают 0,003%; для более глубокого схемы. Первая — это настоящий генера­
изучения этого вопроса см. LTC Арр. Note тор Колпитца: параллельный настроенный
5(12/84). Во второй схеме амплитудный LC-контур на входе и петля положитель­
детектор, состоящий из диодов и ЛС-цепи, ной обратной связи с выхода на вход. По
регулирует усиление по перем енном у имеющимся сведениям эта схема обеспе­
току, меняя сопротивление полевого тран­ чивает искажения меньше —60 дБ. Вторая
зистора, который при малых напряжени­ схема - это генератор Хартли, постро­
ях ведет себя как нелинейное сопротив­ енный на я—р —я-транзисторе. Перемен­
ление (см. разд. 3.10). Следует отметить, ный конденсатор предназначен для регу­
что используется большая постоянная вре­ лировки частоты. В обеих схемах исполь­
мени (2 с); для исключения искажений это зу етс я ка т уш ка связи , т. е. п р о сто
существенно, поскольку быстродействую­ несколько витков провода, действующих
щая обратная связь исказила бы генери­ как понижающий трансформатор.
Активные фильтры и генераторы 315

Рис. 5.43. Генератор Колпитца с малыми искажениями, частота 20 МГц (а); /.С-генератор Хартли (б).

В небольшом диапазоне частот можно В типовом случае варакторы имеют


настраивать /, С-генераторы электрическим максимальное значение емкости от не­
способом. Этот прием заключается в ис­ скольких пикофарад до нескольких сотен
пользовании регулируемого напряжением пикоф арад с диапазоном регулировки
конденсатора («варактор») в частотозада­ приблизительно 3:1 (хотя имеются варак­
ющей £С-цепи. Физическая природа ди­ торы с более ш ироким диапазоном до
одных р w-переходов обеспечивает требу­ 15:1). П оскольку резон ан сн ая частота
емое решение, в виде простого смещен­ L С-контура обратно пропорциональна
ного в обратном направлении диода. корню квадратному из емкости, то воз­
Емкость этого р я-перехода уменьшается можно добиться диапазона настройки по
с увеличением обратного напряжения (см. частоте вплоть до 4:1, хотя обычно гово­
рис. 13.3). Хотя любой диод может рабо­ рят о диапазоне регулировки ±25% или
тать как варактор, необходимо использо­ около того.
вать специально разработанные варактор-
ные диоды и на рис. 5.44 представлены
характеристики некоторых из них. На
рис. 5.45 изображен простой генератор
Колпитца на полевом транзисторе с р —п-
переходом (сигнал обратной связи сни­
мается с истока) и настройкой частоты
+ 1%. В этой схеме диапазон настройки
был преднамеренно сделан меньше, с тем
чтобы добиться хорошей стабильности,
при этом используется относительно боль­
шой конденсатор фиксированной емкос­
ти (100 пФ), который шунтируется не­
большим регулировочным конденсатором
(максимальное значение 15 пФ). Следует
отметить большой номинал резистора сме­
щения (так что ток смещения диода не
будет влиять на колебания), а также на­
личие блокировочного конденсатора по
постоянному току. Советуем также по­ иon'. в
смотреть материал разд. 13.11. Рис. 5.44. Регулирующие диодные варакторы.
316 Глава 5

+ 12 В

Рис. 5.45. Управляемый напряжением £С-генсратор.

В настраиваемых варакторами схемах долей при постоянной температуре); это


само генерируемое колебание (и вдобавок соответствует стабильности наручных ча­
прикладываемое внешнее регулирующее сов. Но кварцевые генераторы все-таки
смещение постоянного тока) появляется лучше, как будет показано в следующем
на варакторе, что приводит к изменению разделе.
его емкости в зависимости от частоты сиг­ Паразитные колебания. Предположим,
нала. Это вызывает искажения формы что вы собрали славный усилитель и ис­
вырабатываемого колебания и, что более пытали его, подавая на вход синусоидаль­
важно, приводит к зависимости амплиту­ ный сигнал. Затем подключили ко входу
ды его колебаний от частоты. Для того усилителя генератор прямоугольных им­
чтобы минимизировать эти эффекты, не­ пульсов и увидели на выходе по-прежне-
обходимо ограничить амплитуду колебаний му синусоидальный сигнал! У вас не уси­
(при необходимости усиление производит­ литель, а сплошные хлопоты.
ся в следующих каскадах); также лучше Но паразитны е колебания не всегда
сохранять напряжение постоянного смеще­ п р о я в л я ю тся так яв н о . О бы чн о он и
ния на варакторе выше одного вольта или заметны в виде размытия части сигнала,
около того, с тем чтобы сделать напряже­ «гуляющего» источника тока, необъясни­
ние генерации малым по сравнению с ним. мых сдвигов у ОУ, или схема, нормаль­
Электрически настраиваемые генерато­ но ведущая себя, пока за ней наблюдают
ры широко используются для формиро­ на осциллографе, вдруг «дичает», стоит
вания частотно-модулированных сигналов лиш ь перестать за ней следить. Все это —
и вдобавок как радиочастотные системы разнообразные проявления неподавлен­
фазовой автоматической подстройки час­ ных высокочастотных паразитных колеба­
тоты. Эти вопросы будут рассмотрены в ний, порожденных непреднамеренно по­
гл. 9 и 13. лучивш им ся генератором Хартли или
По историческим соображениям следо­ К о л п и тц а, во зн и кш и м на основе
вало бы упомянуть о камертонных гене­ индуктивности вводов и межэлектродных
раторах, которые являю тся близким и емкостей.
« р о д ст в ен н и к а м и » L С -г е н е р а т о р о в . На схеме рис. 5.46 показан осцилли­
В этих генераторах высокодобротные ко­ рующий источник тока, возникший при
лебания камертона определяют частоту ге­ выполнении студенческой лабораторной
нератора в низкочастотном диапазоне работы по электронике, где с помощью
(стабильность несколько миллионны х вольтметра измерялся рабочий диапазон
Активные фильтры и генераторы 317

все число. Ясно, что точность здесь не


очень важна. Несколько лучше стабиль­
ность АС-генераторов — порядка 0,01% в
течение разумного промежутка времени.
Этого вполне достаточно для гетеродинов
радиоприемников и телевизоров.
Д ля получения п о-н астоящ ем у ста­
бильных колебаний незаменимы кварце­
вые генераторы. В них используется ку­
сочек кварца (искусственного —двуокись
кремния), вырезанный и отшлифованный
таким образом, что он имеет определен­
ную частоту колебаний. Кварц представ­
ляет собой пьезоэлектрик (его деформа­
ция вызывает появление электрического
потенциала, и наоборот), поэтому упру­
Рис. 5.46. Пример паразитного генератора.
гие колебания кристалла могут быть выз­
ваны приложением электрического поля,
обычного транзисторного источника тока. а эти колебания в свою очередь генериру­
Оказалось, что ток меняется слиш ком ют напряжение на гранях кристалла. П о­
сильно (от 5 до 10%) при изменениях на­ мещая на поверхность кристалла контак­
пряжения на нагрузке в пределах ожидае­ ты, можно превратить его в истинный
мого рабочего диапазона — симптом, ко­ схемный элемент, эквивалентный неко­
торый снимался прикосновением пальца торой RLC-схеме, заранее настроенной на
к выводу коллектора! Емкость транзисто­ определенную частоту. В сам ом деле
ра между коллектором и базой плюс ем­ эквивалентная схема этого элемента со­
кость измерительного прибора в сочета­ держит два конденсатора, дающих пару
нии с его индуктивностью образовала близко расположенных резонансных час­
классический генератор Хартли, в кото­ тот — последовательного и параллельного
ром обратная связь обеспечивалась емко­ резонанса (рис. 5.47), отличающихся друг
стью между коллектором и эмиттером. от друга не более чем на 1%. Результат
Добавление небольшого резистора в цепь этого эффекта - резкое изменение реак­
базы подавило эти колебания за счет тивного сопротивления с частотой (рис.
уменьшения коэффициента усиления на 5.48). Высокая добротность Q кварцевого
высоких частотах в схеме с общей базой. резонатора (обычно около 10000) и хоро­
Это один из приемов, часто бывающий шая стабильность делают естественным его
полезным. применение как задающего элемента в ге-

5.19. Генераторы с кварцевыми


резонаторами

От /?С-генератора можно легко добиться


стабильности порядка 0, 1% при началь­
ной точности установки частоты от 5 до
10%. Это вполне удовлетворительно для ± С П1
многих применений, таких, например, как
мультиплексный индикатор карманного
калькулятора, где цифры многозначного
числа подсвечиваются одна за другой с
быстрым чередованием (обычная часто­
та — 1 кГц). В каждый момент времени
горит только одна цифра, но глаз видит Рис. 5.47.
318 Глава 5

Рис. 5.48.

нераторах и фильтрах с улучшенными па­ На рис. 5.49 показаны некоторые схемы


раметрами (см. разд. 13.12). В схемах с кварцевых генераторов. На рис. 5.49 а
кварцевыми резонаторами, как и в р е ­ показан классический генератор Пирса,
генераторах, вводят положительную об­ в котором используется обычный полевой
ратную связь и обеспечивают надлежащее транзистор (см. гл. 3). Н а рис. 5.49 6
усиление на резонансной частоте, что ве­ изображен генератор Колпитца с кварцевым
дет к автоколебаниям. резонатором вместо LC-контура. В схеме

+2 4 В
10 в

; Др
2,5 мГн
1000 пФ
—II----
I Выход

2N 5485
4 ;
10 МОм

КМОП-инвертор

Рис. 5.49. Схемы с кварцевыми резонаторами: а —генератор Пирса, 6 — генератор Колпитца.


Активные фильтры и генераторы 319

на рис. 5.49, в в качестве обратной свя­ тью известны. Очень часто вы можете най­
зи используется сочетание биполярного ти, что хотя ваш самодельный кварцевый
л-р-я-транзистора и кварцевого резо­ генератор и возбуждается, но на частоте,
натора. Остальные схемы генерирую т которая не соответствует той, которая ука­
выходной сигнал с логическими уровня­ зана на кварцевом резонаторе. В наших
ми при использовании цифровых логичес­ собственных изысканиях в области схем
ких функций (рис. 5.49, г и д). дискретных кварцевых генераторов быва­
На последней диаграмме показаны схе­ ло всякое.
мы кварцевых генераторов, построенные Кварцевые резонаторы выпускаются на
на ИС MCI 2060/12061 фирмы Motorola. диапазон от 10 кГц до 10 МГц, а у неко­
Эти микросхемы предназначены для ис­ торых образцов высокие обертоны дохо­
пользования, совместно с кварцевыми ре­ дят до 250 МГц. Д ля каждой частоты
зонаторами, диапазона частот от 100 кГц нужен свой резонатор, но для наиболее
до 20 МГц и спроетированы таким обра­ употребительных частот резонаторы вы­
зом, что обеспечивают прекрасную ста­ пускаются серийно. Всегда легко достать
бильность частоты колебаний при тщ а­ резонаторы на частоты 100 кГц, 1, 2, 4,
тельном ограничении его амплитуды с 5 и 10 МГц. Кварцевый резонатор на ча­
помощью встроенного амплитудного дис­ стоту 3,579545 МГц (стоящ ий меньше
криминатора и схемотехнического огра­ доллара) применяется в генераторе им­
ничителя. Они обеспечивают формиро­ п ульсов ц ветн ости тел еви зо р о в . Д ля
вание выходных колебаний как сину­ электронных наручных часов нужна час­
соидальной, так и прямоугольной формы тота 32,768 кГц (или 215 Гц), и вообще,
(с ТТЛ и ЭСЛ логическими уровнями). часто нужны частоты, равные 2 какой-то
В качестве альтернативы, а именно в тех степени Гц. Кварцевый генератор можно
случаях, когда достаточно иметь выходное регулировать в небольшом диапазоне с по­
колебание только прямоугольной формы и мощью последовательно или параллель­
не предъявляются предельные требования но включенных конденсаторов перемен­
по стабильности, можно применять закон­ ной емкости (см. рис. 5.49 г). Благодаря
ченные модули кварцевых генераторов, ко­ дешевизне кварцевых резонаторов всегда
торые обычно выпускаются в металличес­ имеет смысл рассмотреть возможность их
ких DIP-корпусах. Они предлагают стан­ применения в тех случаях, когда Л С-ре­
дартный набор частот (например, 1, 2, 4, лаксационны е генераторы работают на
5, 6, 8, 10, 16 и 20 МГц), а также «стран­ пределе своих возможностей.
ные» частоты, которые обычно использу­ При необходимости стабильную часто­
ются в микропроцессорных системах (на­ ту кварцевого генератора можно «подго­
пример, частота 14,31818 МГц использует­ нять» электрическим способом в неболь­
ся в видеоплатах). Эти «кварцевые модули ших пределах с помощью варактора. Та­
тактовой частоты», как правило, обеспечи­ кая схема называется УН КГ (управляемый
вают точность (в диапазоне температур, на­ напряжением кварцевый генератор), при
пряжений источника питания и времени) этом удается соединить прекрасную ста­
только 0,01% ( 10"4), однако они дешевы (от бильность кварцевых генераторов с регу­
2 до 5 долл.), и вам не приходится строить лируемостью LC-генераторов. Покупка
схему. Кроме того, они всегда дают устой­ коммерческого УНКГ, вероятно, являет­
чивые колебания, тогда как при создании ся наилучшим решением проблем, возни­
собственного генератора этого не всегда уда­ кающих при собственном проектирова­
ется добиться. Функционирование схем ге­ нии. Стандартные УНКГ обеспечивают
нераторов на кварцевых резонаторах зави­ максимальные отклонения центральной
сит от электрических свойств самого крис­ частоты от н ом и нала п орядка ± 10-5—
талла (таких, как последовательный или ± 10~4, хотя имеются образцы с более ш и­
параллельный режим колебаний, эффектив­ роким диапазоном (вплоть до ± 10“3).
ное последовательное сопротивление и ем­ Без особых усилий можно с помощью
кость монтажа), которые не всегда полнос­ кварцевого резонатора обеспечить ста­
320 Глава 5

бильность частоты порядка нескольких более 5—10- 10 в день. Эффект старения


миллионных долей в нормальном темпе­ возникает частично из-за постепенного
ратурном диапазоне. П рим еняя схемы снятия деформаций, поэтому через не­
температурной компенсации, можно по­ сколько месяцев с момента изготовления
строить температурно-компенсированный этот эффект имеет тенденцию к устойчи­
кварцевый генератор (ТККГ) с несколько вому снижению , по крайней мере для
улучшенными параметрами. Как ТККГ, хорошо сделанных кристаллов. Взятый
так и неком пенсированны й генератор нами за образец генератор 10811 имеет ве­
выпускаются в виде готовых модулей раз­ личину эффекта старения не более 10“11
ными ф и рм ам и, н ап рим ер, ф и рм ам и в день.
Biley, CTS K nights, M otorola, Reeves В тех случаях, когда стабильность тер­
Hoffman, Statek и Vectron. Они бывают мостатированных кристаллов уже недо­
разных габаритов, иногда не больше кор­ статочна, применяются атомные стандар­
пуса DIP или стандартного корпуса для ты частоты. В них используются микро­
транзисторов ТО -5. Д еш евы е модели волновые линии поглощения в рубидие­
обеспечивают стабильность порядка 10“6 вом газонаполненном элементе или час­
в диапазоне от 0 до 50°С, дорогие - тоты атомных переходов в пучках атомов
порядка 10“7 в том же диапазоне. цезия в качестве эталонов, по которым
Температурно-компенсированные гене­ стабилизируется кварцевы й резонатор.
раторы. Чтобы получить сверхвысокую Таким образом можно получить точность
стабильность, может понадобиться квар­ и стабильность порядка 10“12. Цезиевый
цевый генератор, работающий в условиях стандарт является официальным эталоном
постоянной температуры. Обычно для времени в США. Эти стандарты вместе с
этих целей используется кристалл с прак­ лин и ям и передачи времени принадле­
тически нулевым температурным коэф ­ жат Национальному бюро стандартов и
фициентом при несколько повышенной М орской обсерватории. К ак последнее
температуре (от 80° до 90 °С), а также тер­ средство для самых точных частот, где
мостат, который эту температуру поддер­ нужна стабильность порядка 10“14, можно
живает. Выполненные подобным образом предложить мазер на атомарном водоро­
генераторы выпускаются в виде неболь­ де. Последние исследования в области со­
ших законченных модулей, пригодных для здания точных часов сосредоточиваются
монтажа и включаемых в приборы, на все на технических приемах, использующих
стандартные частоты. Типичным модулем «охлажденные ионы», которые позволяют
генератора с улучшенными характеристи­ достигать даже еще лучшей стабильнос­
ками служит схема 10811 фирмы Hewlett- ти. Многие ф изики считают, что можно
Packard. Она обеспечивает стабильность достичь окончательной стабильности 10“' \
порядка 10“" в течение времени от не­
скольких секунд до нескольких часов при
частоте 10 МГц.
Если температурная нестабильность
снижена до очень малых значений, то
начинают доминировать другие эффекты:
«старение» кристалла (тенденция частоты
к уменьшению с течением времени), от­
клонения питания от номинала, а также
внешние влияния, например, удары или
вибрации (последнее представляет собой
наиболее серьезные проблемы в произ­
водстве кварцевых наручных часов). Один
из способов решения проблемы старения:
в паспортных данных генератора указы­
вается скорость снижения частоты — не
Активные фильтры и генераторы 321

СХЕМЫ, НЕ ТРЕБУЮЩИЕ ПОЯСНЕНИЙ

5.20. Удачные схемы

На рис. 5.51 представлен набор удачных


схем, большинство из которых взяты из
руководств фирм-изготовителей и ф ир­
менных руководств по применению.
Удачные схемы

0 ,0 01 ю кОм + 5

г
Рис. 5.51. Удачные схемы: а — моностабильный мультивибратор. Длительность входного импульса должна
быть меньше, чем у выходного; б — активный имитатор катушки индуктивности; в — квадратурный генера­
тор с частотой 1 Гц; г — релаксационный генератор;
R. 10 Ом

ЭСЛ-приемник
10116
0,32 D
RC
> -O
T t R - 5'2
R = 200 до 1000 Ом

Рис. 5.51 (продолжение).


д -резонансный усилитель работает в диапазоне частот о т ^ до fT/2Q. Ргос. 1ЕЕЕ 60, 908 (1972); е —умножитель
емкости; ж — эмитгерно-связанный /.С-генератор; з — высокочастотный ЭСЛ-генератор; и — преобразователь
напряжение/частота;
Активные фильтры и генераторы 323

к
150 кОм

Рис. 5.51 (продолжение).


к - фильтр Бесселя нижних частот 3-го порядка, для другого значения частоты среза необходимо провести
масштабирование номиналов элементов; л - широкодиапазонный ГУН (2 Гц-100 кГц) на усилителях с актив­
ной проводимостью.

ДОПОЛНИТЕЛЬНЫЕ УПРАЖНЕНИЯ тока. Проверьте, что обеспечивается достаточный рабо­


чий диапазон источника тока. Каково должно быть зна­
1. Спроектируйте 6-полюсный фильтр Бесселя верхних чение резистора Дв (рис. 5.33)?
частот с частотой среза 1 кГц. 4. Разработайте на схеме 555 генератор треугольных коле­
2. Спроектируйте фильтр-пробку на частоту 60 Гц баний. Для этого используйте пару источников тока, а имен­
с буферными ОУ на входе и выходе. но /0 (втекающий ток) и 2/0 (вытекающий ток). Исполь­
3. Спроектируйте генератор пилообразных колебаний зуйте выходной сигнал ИС 555 для переключения источни­
с частотой 1 кГц, заменив резистор заряда в схеме ка вытекающего тока 2/0. На рис. 5.50 показано одно из
генератора на таймере 555 транзисторным источником возможных решений.
ГЛАВА 6
СТАБИЛИЗАТОРЫ НАПРЯЖЕНИЯ
И ИСТОЧНИКИ ПИТАНИЯ

Почти лю бая электронная схема — от тепловой обратной связи» для снижения


простых схем на транзисторах и опера­ рабочих температур транзистора и предот­
ционных усилителях и до сложнейших вращения повреждений схемы. Эти под­
цифровых и микропроцессорных систем — ходы можно применить в любой мощной
требует для своей работы одного или схеме, вклю чая усилители мощ ности.
нескольких стабильных источников пита­ Разобравш ись со стабилизаторами, мы
ния постоянного тока. Простые нерегу­ вновь обсудим некоторые детали проек­
лируемые источники питания типа транс­ тирования нерегулируемых источников
форматор - мостовой выпрямитель — питания. В этой главе мы рассмотрим
конденсатор, которые мы рассматривали также источники опорного напряжения и
в гл. 1, вообще говоря, не годятся, так как интегральные схемы для их получения, т. е.
их выходное напряжение зависит от тока аппаратуру, которая применяется незави­
нагрузки и напряжения в сети; кроме того, симо от стабилизаторов напряжения.
это напряжение пульсирует с частотой
120 Гц. К счастью, легко построить источ­ БАЗОВЫЕ СХЕМЫ СТАБИЛИЗАТОРОВ
ник стабильного питания, используя от­ НА ОСНОВЕ КЛАССИЧЕСКОЙ
рицательную обратную связь и сравнивая ИМС 723
выходное постоянное напряжение с не­
которым постоянным эталонным (опор­ 6.01. ИМС стабилизатора 723
ным) напряжением. Такие стабилизиро­
ванные источники питания универсальны Классический стабилизатор цА723 разра­
и легко могут быть построены с помощью ботан Р. Видларом в 1967 г. Это универ­
интегральных схем стабилизаторов напря­ сальный, простой в употреблении стаби­
жения. Для этого потребуется только лизатор с превосходными рабочими ха­
нерегулируемый источник постоянного рактеристиками. Хотя, быть может, вы
напряжения (трансформатор — выпрями­ предпочтете ему более современные схе­
тель — конденсатор, батарея и т. п.) и мы, все же его стоит изучить, так как
еще несколько других элементов. и новые схемы работают на тех же прин­
В этой главе мы расскажем, как п о­ ципах. Его схемы изображены на рис. 6.1
строить стабилизатор напряж ения, и с­ и 6.2. Это настоящий блок питания, кото­
пользуя некоторые интегральные схемы рый содержит температурно-компенсиро­
специального назначения. Та же схемотех­ ванный источник опорного напряжения,
ника применяется в стабилизаторах напря­ дифференциальный усилитель, последо­
жения на дискретных элементах (транзи­ вательно включенный проходной тран­
сторы, резисторы и т. п.), хотя это и не зистор и схему защиты, обеспечивающую
нужно ввиду доступности превосходных ограничение выходного тока. В том виде,
и недорогих ИМС стабилизаторов напря­ в котором блок выпускают, И М С 723
жения. При рассмотрении стабилизаторов ничего не регулирует. Чтобы заставить
напряжения возникает круг вопросов, свя­ его делать то, что вам нужно, придется
занных с проблемой рассеяния больших подключить к нему некоторые внешние
мощностей, поэтому нам приходится го­ цепи. Прежде чем их рассмотреть, обра­
ворить об отводе тепла и об «ограничении ти м ся к его соб ствен н ой схеме. Она
Стабилизаторы напряжения и источники питания 325

Частотная

Рис. 6.1. Функциональная схема стабилизатора 723 (фирма Fairchild Camera and Instrument Corp.).

Рис. 6.2. Принципиальная схема стабилизатора 723 (фирма Fairchild Camera and Instrument Corp.).

проста и легко понятна (в отличие от схем эффициент около - 2 мВ/°С) для опор­
внутреннего устройства многих других ного напряжения 7,15 В с приблизительно
ИМС). нулевым температурным коэффициентом
Сердцем стабилизатора является темпе­ (обычно 0,003%/°С). Транзисторы Т — Т6
ратурно-компенсированный стабилитрон- предназначены для смешения Д 2 током
ный источник опорного напряжения. Ста­ / = UB3/1L, стабилизированным отрица­
билитрон Д2 имеет положительный тем­ тельной обратной связью по постоянному
пературный коэффициент, поэтому его току, как показано на схеме. Транзисторы
напряжение складывается с перепадом Т2 и Тъобразуют несимметричное токовое
напряжения между базой и эмиттером зеркало для смещения источника опорно­
транзистора Ть (вспомните: величина Ub3 го напряжения. Ток этих транзисторов
имеет отрицательный температурный ко­ устанавливается диодом Д и резистором
326 Глава 6

R2 ( в точке их соединения фиксируется дельного положительного питания. При


напряжение на 6,2 В ниже U+), которые, включении транзистора Т]6 запираются
в свою очередь, запитаны током тран­ проходные транзисторы для того, чтобы
зистора 7j —полевого транзистора с р-п-пе­ ограничить выходной ток на безопасном
реходом, который работает как источник уровне. В отличие от многих более новых
тока. схем стабилизаторов ИМС 723 не снабжена
Транзисторы Ти и Тп образуют диффе­ встроенными схемами аварийного отклю­
ренциальный усилитель (иногда его назы­ чения для защиты от чрезмерных токов
вают «усилителем сигнала ошибки», если нагрузки или слишком большого рассея­
описывают схему в терминах отрицатель­ н ия м ощ ности на И М С . Сущ ествуют
ной обратной связи) —это типичная диф­ улучшенные стабилизаторы типа 723, а
ференциальная пара с высоким подавле­ именно SG3532 и LAS 1000 с низковольт­
нием синфазных сигналов за счет эмит- ными источниками опорного напряжения
терного источника тока Т]У Последний с малым разбросом (см. разд. 6.15), внут­
входит в половину токового зеркала на ренними ограничителями тока и схемами
Т9, Tw и Г13, в свою очередь у п р ав ­ тепловой защиты.
ляемого токовым зеркалом Т7 ( Г3, Г7 и
Г8 - все эти транзисторы «отражают» ток, 6.02. Стабилизатор положительного
задаваемый источником опорного напря­ напряжения
жения на Д{, см. разд. 2.14). Коллектор
транзистора Т,, имеет фиксированный по­ Н а р и с. 6.3 п о к а з а н о , к а к на б азе
ложительный потенциал эмиттера Г4, а ИМ С 723 построить стабилизатор положи­
выходной сигнал усилителя ошибки сни­ тельного напряжения. Все необходимые
мается с коллектора Тп. Токовое зеркало элементы, кроме четырех резисторов и
Т\ запитывает коллекторную нагрузку двух конденсаторов, содержатся в самой
Т12. Транзистор Ти вклю чен вместе с ИМС. Делитель напряжения R t R, задает
транзистором Т]5 по «неполной» схеме часть выходного напряжения, сравнивае­
Дарлингтона. Заметьте, что коллектор мую с опорным, а элементы ИМ С 723
транзистора Г15 выведен отдельно, чтобы обеспечиваю т все остальные функции.
обеспечить возможность подведения от­ Такая схема подобна неинвертирующему

Рис. 6.3. Стабилизатор на ИМС 723 (Um, > t / n).


Стабилизаторы напряжения и источники питания 327

усилителю на ОУ с эмиттерным повто­ величина, на которую подводимое н а­


рителем на выходе, если напряжение Uon пряжение питания должно превышать ста­
рассматривать в качестве «входного сиг­ билизированное напряжение на выходе,
нала». Резистор R4 подбирают так, чтобы должен быть не менее 3 В. Это значение
падение напряжения на нем при макси­ типично и для большинства других стаби­
мально необходимом выходном токе было лизаторов. Резисторы R { и R2 обычно
равно ~ 0,5 В, т. е. напряж ению 1/ъэ. переменные или подстраиваемые, чтобы
Тогда при слишком большом токе это можно было точно установить выходное на­
напряжение, приложенное к входам ОТ- пряжение. Значение Uon имеет производ-
ДТ, включит токоограничивающий тран­ водственный разброс от 6,8 до 7,5 В.
зистор (Г 16 на схеме 6.2), запирающий Как правило, выход рекомендуется шун­
проходной транзистор. Конденсатор ем­ тировать конденсатором емкостью в н е­
костью 100 пФ добавлен для обеспечения сколько микрофарад, как показано на
устойчивости при включении обратной схеме. Это сохраняет малые значения
связи. Резистор Л3 (иногда отсутствует) полного выходного сопротивления и на
подбирают так, чтобы на входах диффе­ высоких частотах, при которых обратная
ренциального усилителя было бы одно и связь становится менее эф ф ективной.
то же сопротивление. Это делает выход­ Лучше всего конденсатор выбрать в соот­
ной сигнал нечувствительным к измене­ ветствии с рекомендацией изготовителя,
ниям базовых токов смещения (например иначе могут появиться автоколебания.
при изменении температуры), подобно И вообще, неплохо заземлить по перемен­
тому как это делалось при включении ОУ ному току шины питания во всей запиты-
(см. разд. 4.12). ваемой схеме, применяя для этого кера­
С помощью этой схемы можно полу­ мические конденсаторы 0,01—0,1 мкФ в
чить любое стабилизированное напряже­ сочетании с танталовыми или электроли­
ние питания от U ко максимально до­ тическими 1—10 мкФ.
пустимого уровня 37 В. Входное нестаби- Для выходных напряжений, меньших
лизированное напряжение (причем с уче­ Uon, надо просто п остави ть д елитель
том его колебаний) должно на несколько опорного напряжения (рис. 6.4). Тогда
вольт превышать выходное. Для стабили­ напряжение выхода будет сравниваться
затора 723 «перепад напряжения», т. е. с нужной долей опорного напряжения.
+ U (нестабилиз.)

Рис. 6.4. Стабилизатор на ИМС 723 ({ /ы> > ( / J .


328 Глава 6

Параметры схемы рис. 6.4 выбраны с ра­ 6.03. Стабилизаторы с большими


счетом на получение +5 В, 50 мА (макси­ выходными токами
мум). С помощью подобных схем можно
получать н ап ряж ени я от +2В до U . В строенны й проходной тр ан зи стор
Невозможно снизить выходное напряже­ ИМ С 723 рассчитан на 150 мА максимум,
ние ниже + 2 В, так как дифференциаль­ рассеяние мощности не должно превос­
ный усилитель при уровне входного сиг­ ходить 1 Вт при 25°С (и менее при более
нала меньше 2 В работать не будет. Это высокой окружающей температуре; этот
задано в спецификации изготовителя (см. параметр для ИМ С 723 должен быть пере­
табл. 6.9). Напряжение питания схем не считан с коэффициентом 8,3 мВт/°С на
должно падать ниже +9,5 В, т. е. уровня, каждый градус превышения температуры
необходимого для питания. окружающей среды 25°С, чтобы темпера­
Третий вариант такой схемы исполь­ тура />-и-переходов удерживалась в без­
зуется, когда надо построить стабилиза­ опасных пределах). Таким образом, ста­
тор с диапазоном выходного напряжения, билизатор на 5 В с напряжением на входе
содержащим Um (т. е. стабилизатор, спо­ + 15 В не может давать то к нагрузки
собный давать значения выходного напря­ больше 80 мА. Чтобы обеспечить боль­
жения и больше,’ и меньше Uо п ).
'
В таких шие токи нагрузки, нужно прим енять
случаях нужно сравнивать часть выход­ внешние проходные транзисторы. Под­
ного напряжения с долей опорного на­ клю чим внеш ний проходной тран зи с­
пряжения Uon, которая меньше нижней тор так, чтобы он образовал со встроен­
границы желательного диапазона. ны м тран зи стором пару Д арлин гтон а
Упражнение 6.1. На основе ИМС 723 спроектируйте
(рис. 6.5). Транзистор Г, - внешний про­
стабилизатор, дающий ток нагрузки до 50 мА в диа­ ходной транзистор; он должен быть снаб­
пазоне выходных напряжений от +5 до +10 В. Указа­ жен радиатором — чаше всего это ребрис­
ние: сравните часть выходного напряжения с 0,5 Uon. тая металлическая пластина — для отвода

Рис. 6.5. Стабилизатор на +5 В с внешними проходным транзистором и защитой.


Стабилизаторы напряжения и источники питания 329

тепла (можно и по-другому: поместить выпрямитель, тиристор) — прибор, ток в


транзистор на одной из стенок металли­ котором нормально отсутствует до тех
ческого корпуса блока питания). С вопро­ пор, пока переход управляющий элект­
сами теплового режима мы будем иметь род-катод не получит прямое смещение.
дело в следующем разделе. Подстроенный После этого прибор включается (входит в
потенциометр п рим ен ен для точного насыщение), и, однажды включившись,
выставления + 5 В на выходе; диапазон не выключится, пока анодный ток не бу­
подстройки должен быть достаточным дет прерван извне. В нашем случае через
для компенсации допуска на сопротивле­ управляющий электрод пройдет ток, если
ния резисторов, а также призводствен- выходное напряжение окажется больше
ного разброса UB (рассматривается наи­ напряжения стабилитрона Д плюс пере­
худший случай). °Ь данном случае диапа­ пад на р-я-переходе. Когда это произой­
зон настройки выходного напряж ения дет, в стабилизаторе включится схема ог­
находится в пределах +1 В от номинала. раничения тока и КУВ будет удерживать
Заметьте, что для получения тока нагруз­ выходное напряжение около уровня зем­
ки 2 А или около этого необходим мощ­ ли. Если неисправность, приведш ая к
ный токоограничивающий резистор с низ­ ненормальному повыш ению выходного
ким сопротивлением. напряжения, к тому же вывела из строя
Падение напряжения на проходном токоограничивающую схему (например,
транзисторе. Одна из проблем при пост­ у транзистора Г, замкнулся коллектор на
роении этой схемы - большое рассеяние эмиттер), то схема защ иты будет отби­
мощности на проходном транзисторе (по рать очень большой ток. Поэтому где-
крайней мере 10 Вт при полном токе н а­ нибудь в цепи питания надо поставить
грузки). Этого не избежать, если ИМ С плавкий предохранитель, как показано на
стабилизатора питается от нестабилизиро- схем е. П о д р о б н ее схем ы заш и ты от
ванного источника, поскольку в этом слу­ превыш ения напряжений рассмотрены в
чае ему нужен «запас сверху» в несколько разд. 6.06.
вольт (определяемый минимальным паде­
нием напряжения). Если использовать для
ИМС 723 отдельный слаботочный источ­ ПРОЕКТИРОВАНИЕ ТЕПЛООТВОДА
ник питания (например +12 В), то мини­ МОЩНЫХ СХЕМ
мум нестабилизированного напряжения
питания на внешнем проходном транзис­ 6.04. Мощные транзисторы и отвод тепла
торе может всего лишь на 1 В превышать
стабилизированное напряжение на выхо­ Часто необходимо, как мы видели в при­
де, но лучше все же иметь запас хоть не­ веденны х выш е схемах, и спользовать
сколько вольт, так как в жестких услови­ мощные транзисторы или другие силь­
ях эксплуатации требуется нормальная ноточные устройства, такие как КУВ или
работа даже при 20%-ном снижении на­ силовы е вы прям ители, рассеиваю щ ие
пряжения в сети переменного тока. мощ ности во много ватт. Недорогой и
Защита нагрузки по напряжению. В схе­ очень распространенны й мощ ный тран ­
ме рис. 6.5 предусмотрена также защита зистор 2N3055, правильно смонтирован­
нагрузки от слишком больших напряже­ ный, рассеивает мощ ность до 115 Вт.
ний, состоящая из Д {, Т2 и резистора Все мощ ные устройства выпускаются в
33 Ом. Назначение этой схемы - закора­ корпусах, обесп еч и ваю щ и х теп л овой
чивать выход, если из-за какой-либо н е­ контакт между их металлической повер­
исправности стабилизатора выходное на­ хностью и вн еш н и м рад и атором . Во
пряжение последнего выше 6,2 В (это многих случаях металлическая поверх­
может случиться, если отключится один ность устройства связана электрически
из выводов резисторов делителя или от­ с одним из выводов (например, у мощ ­
кажет какой-нибудь элемент схемы 723). ного транзистора она всегда связана с
Т2- это КУВ (кремниевый управляемый коллектором).
3
ае>
а
3 =;
о s
е* U

Cl У О
■По
о
О О О
ООО о о о о
1Л<ЛО О
— ГЧ ГЧ СЧ СЧ — —* г ч rs

*со ОС 1П Tf © \ 40^ ON
® D- СП ~ —к сГ o ' o '

V
tr> f_ ООО О
й* ™& О
ГЧО
СП гч о
II н
и

О
La1© 1
ОООО
О
«З- Г " ГЧ
о
о

гч сч гч I Tt- Tf

ГЧ 1П
О О ГЧ

z*<

о
о о
о 1о о
оП о
о
О
ГМVI
ГЧ СП СП
00
Таблица 6.1. Мощные биполярные транзисторы

ев
5 о
н

!5) 8 ‘ «
- - гг 2
00
тг X
о
а о
fc
s < » oы o-
О О О X
о СО Н {—s—s—
&
х §2
40 in Tj- N 1Л — (N r ^ 5 и
г- in oo oo cn m tj- in oo я сч
X ONON 00 40 О о О
в0 ил гч in Ш SO 40404040 CN

iS s z z z z z z z з г
5
ГЧ ГЧ СЧ ГЧ ГМ ГЧ ГЧ
5 F
3
1 I *
__ X Tf
ST
о
X ON
ON *П
r - in
ON О
40 40 oo
00 OO cn
00 40 СП cn ^O NОС < и
*n
S in i n cn in m 40 40 о 40404040
О О О ГЧ

z
<5 гч z z z z z z © z z z z
гч гч ГЧ (4 (N сч и ГЧ г ч г ч гч
Стабилизаторы напряжения и источники питания 331

В принципе задача теплоотвода —удер­ проходным транзистором имеет макси­


жать переходы транзисторов или других мум рассеиваемой на транзисторе мощ­
устройств при температуре, не превы ­ ности 20 Вт при нестабилизированном
шающей указанной для них максималь­ входном напряжении +15 В (10 В паде­
ной рабочей температуры. Для кремние­ ния напряж ения, 2 А). П редположим,
вых транзисторов в металлических корпу­ что эта схема должна работать при окру­
сах максимальная температура переходов жающей температуре 50°С - не так уж не­
обычно равна 200°С, а для транзисторов вероятно для компактно расположенно­
в пластмассовых корпусах равна 150°С. го электронного оборудования, —и постара­
В табл. 6.1 приведены некоторые часто емся удержать температуру переходов
применяемые типы мощных транзисторов ниже 150°С, т. е. намного ниже, чем ука­
и указаны их температурные параметры. занные изготовителем 200°С. Тепловое
Зная эти параметры, проектировать теп­ сопротивление от перехода к корпусу рав­
лоотвод просто: зная мощность, которую но 1,5 °С/Вт. М ощный транзистор в кор­
прибор будет рассеивать в данной схеме, пусе ТО-3, смонтированный со специаль­
подсчитываем температуру переходов с ной прокладкой, обеспечивающей элект­
учетом теплопроводности транзистора, рическую изоляцию и тепловой контакт,
радиатора и максимальной рабочей темпе­ имеет тепловое сопротивление от корпу­
ратуры окружающей транзистор среды. са к радиатору порядка 0,3 °С/Вт. И нако­
Затем выбираем такой радиатор, чтобы нец, радиатор фирмы Wakefield, модель
температура переходов была намного ниже 641 (рис. 6.6), имеет тепловое сопротив­
указанной изготовителем максимальной. ление на границе с внешней средой по­
Здесь разумно перестраховаться, так как рядка 2,3 °С/Вт. Поэтому общее тепловое
при температурах, близких к максималь­ сопротивление между ^-«-переходом и
ной, транзистор быстро выходит из строя. внеш ней средой будет равно 4,1 °С/Вт.
Тепловое сопротивление. При расчете При рассеиваемой мощности 20 Вт тем­
радиатора используют тепловое сопротив­ пература перехода будет на 84°С выше тем­
ление 0, которое равняется отношению пературы окружающей среды, т. е. будет
величины перепада температур в градусах равна 134 °С (при максимальной внешней
к передаваемой мощности. Если тепло­ температуре для данного случая). Итак,
передача происходит только путем тепло­ выбранный радиатор пригоден, а если
проводности, то тепловое сопротивле­ необходимо сэкономить пространство, то
ние - величина постоянная, не зависящая можно выбрать и несколько меньший.
от температуры, а зависящая только от ус­ Замечания о радиаторах. 1. В схемах, где
тройства теплового контакта. Для после­ рассеиваются большие мощности, напри­
довательного ряда тепловых контактов мер несколько сотен ватт, может пона­
общее температурное сопротивление рав­ добиться принудительное воздушное охлаж­
но сумме тепловых сопротивлений отдель­ дение. Для этого выпускаются большие
ных соединений. Таким образом, для радиаторы, предназначенные для работы
транзистора, смонтированного на радиа­ с вентиляторами и имеющие очень низ­
торе, общее тепловое сопротивление пере­ кое тепловое сопротивление от радиатора
даче тепла от /ьи-перехода на внешнюю к внешней среде — от 0,05 до 0,2 °С/Вт.
среду равно сумме тепловых сопротив­ 2. Если транзистор должен быть электри­
лений переход-корпус 0пк, соединения чески изолирован от радиатора, как это
корпус-радиатор 0кр и перехода радиа­ обычно и необходимо, особенно если н е­
тор-среда 0рс. Таким образом, темпера­ сколько транзисторов установлено на од­
тура />-я-перехода будет равна ном радиаторе, то использую т тонкие
ТП = ТС + (0 + 0к р + 0р с )Р, изолирующие прокладки между транзис­

' ПК '
торами и радиаторами, а также изоли­
где Р - рассеиваемая мощность. рующие вкладыши для монтажных вин­
Рассмотрим пример. Приведенная ра­ тов. Прокладки выпускаются под стан­
нее схема источника питания с внешним дартные транзисторные корпусы и де-
332 Глава 6

Общий вид Марка Тепловое сопротивлени


(°С/Вт)приДГ=(Гад- Г неш)
AT = ЛГ = AT =
25 °C 50 °C 75 °C
ITXBF-032- 70 70 70
025В

1" IPA2 30 27
T6107 22 18 16
w

IE1000-03
T6401 3,5 3,1 1,0
W401

IE2000-06 1,3 1,1 1,0


Т6421
W421

T6169 2,6 2,2 1,9


W641

Рис. 6.6. Радиаторы для мощных транзисторов.


Фирмы-изготовители: 1 - IERC, T-Thermalloy, W- Wake­
field, (размеры даны в дюймах, 1" = 25,4 мм).

лаются из слюды, изолированного алю­ водным компаундом; обычно это нитрид


миния и двуокиси бериллия В е0 2. При бора или окись алюминия. Эти изоляторы
использовании теплопроводящей смазки чисты и сухи, удобны в употреблении, вам
они создают дополнительное тепловое со­ не грозит испачкать руки, одежду и элек­
противление от 0,14 °С/Вт (бериллие- тронику белым липким веществом, к тому
вые) до 0,5 °С/Вт. Хорошей альтернати­ же вы экономите уйму времени. Тепловое
вой классическому сочетанию прокладка сопротивление этих изоляторов составля­
из слюды плюс смазка могут служить изо­ ет 0,2—0,4 °С/Вт, т. е. вполне сравнимое
ляторы на основе крем нийорганичес- с величинами «грязного» метода. Фирма
ких соединений без использования смазки Bergquist называет свою продукцию “ Sil-
с дисперсионным покрытием теплопро­ Pad”, Chomerics - “Cho — Therm”, продук­
Стабилизаторы напряжения и источники питания 333

ция SPC известна под названием “Koolex”, потока воздуха, то эффективность радиа­
a Thermalloy называет свою “Thermasil”. тора снижается (повышается тепловое со­
Мы в своей работе с успехом используем противление); лучше всего монтировать
все эти изоляторы. радиатор на задней стенке прибора, ставя
3. Малые радиаторы выпускаются в виде ребро вертикально.
простых насадок на малогабаритные кор­ Упражнение 6.2. Транзистор 2N5320, имеющий
пуса тр ан зи ст о р о в (п о д о б н ы е с т а н ­ тепловое сопротивление переход—корпус 17,5 °С/Вт,
дартному ТО-5). В случае малой рассеи­ снабжен съемным радиатором типа IERC TXBF (см.
ваемой мощности (1 -2 Вт) этого вполне рис. 6.6). Максимальная допустимая температура
достаточно и не надо мучиться, монтируя перехода 200°С. Какая мощность может рассеивать­
ся такой конструкцией при внешней температуре
транзистор куда-то на радиатор, а потом 25 °С? Как эта мощность уменьшается с каждым гра­
тащить от него провода обратно к схеме дусом увеличения температуры окружающей среды?
(пример см. на рис. 6 .6). Кроме того,
существуют различные типы малых ради­ 6.05. Ограничители тока с обратным
аторов для работы с мощными ИМ С в наклоном характеристики
пластмассовых корпусах (многие стабили­
заторы, а также мощ ные транзисторы Для стабилизатора с простым ограни­
имеют такие корпуса), которые монтиру­ чением тока рассеяние мощности на тран­
ются прямо на плату под корпус ИМС. зисторе будет максимальным, если выход
Это очень удобно в схемах, где рассеива­ закорочен на землю (случайно или из-за
ется мощность не больше нескольких ватт нарушения нормального функциониро­
(пример см. также на рис. 6.6). вания схемы), и эта мощность рассеяния
4. Иногда удобно монтировать мощный обычно превосходит мощность при номи­
транзистор прямо на шасси или корпус нальной нагрузке. Например, проходной
прибора. В этом случае лучше использо­ транзистор в рассмотренном нами стаби­
вать консервативный метод проектирова­ лизаторе, дающем + 5 В при токе 2 А,
ния (корпус должен оставаться холод­ будет при закороченном выходе рассеивать
ным), так как нагретый корпус нагреет и мощ ность 30 Вт (на входе + 15 В, ток
другие элементы схемы и сократит их сро­ 2 А), а при номинальной нагрузке — 20 Вт
ки службы. в худшем случае (перепад напряж ений
5. Если транзистор смонтирован на ра­ 10 В при токе 2 А). Еще хуже обстоит
диаторе без изоляции, то надо изолиро­ дело для схем, в которых напряжение,
вать радиатор от шасси. Применение изо­ падаю щ ее н а проходном транзисторе,
лирующих прокладок рекомендуется все­ представляет собой небольш ую часть
гда (например модель W akefield 103), выходного напряжения. Например, в ста­
если, конечно, корпус транзистора не за­ билизаторе, дающем +15 В при 2 А от
землен по идее. Если транзистор изоли­ нестабилизированного питания +25 В,
рован от радиатора, то радиатор можно рассеиваемая мощ ность изменяется от
закрепить прямо на шасси. Но если тран­ 20 Вт (на полной нагрузке) до 50 Вт (при
зистор выступает наружу из прибора (ска­ коротком замыкании).
жем, радиатор его смонтирован на внеш­ С аналогичной проблемой мы сталки­
ней стороне задней стенки), то имеет ваемся при работе с пушпульными усили­
смысл изолировать этот транзистор, что­ телями мощности. При нормальных усло­
бы никто до него случайно не дотронулся и виях мы имеем максимальный ток нагруз­
не замкнул на землю (изолировать можно, ки при м и ним альном н ап ряж ени и на
например, прокладкой Thermalloy 8903N). транзисторе (амплитуда выходного сигна­
6. Тепловое сопротивление радиатор— ла около максимальной), и наоборот —
внешняя среда обычно указывается, когда при значении тока нагрузки, близком к
ребра радиатора установлены вертикаль­ нулю (нулевое напряжение на выходе),
но и обдуваются воздухом без помех. напряжение на транзисторе будет макси­
Если же радиатор установлен как-нибудь мальным. В случае короткого зам ы ка­
по-другому или есть препятствия на пути ния мы имеем максимальный ток нагрузки
334 Глава 6

Нестабилизир. вход

Рис. 6.7. Мощный стабилизатор,


снабженный схемой ограничения
тока с обратным наклоном харак­
теристики. а — схема; 6 - зависи­
мость выходного напряжения от тока
нагрузки. = 1 + [R2/(R, +
+ W U JU &

в самый неподходящий момент, а и м ен ­ выйти из строя другие элементы. Лучше


но при напряжении на транзисторе, рав­ применить метод ограничения с обратным
ном полн ом у н ап р яж ен и ю п и та н и я . наклоном токовой нагрузочной харак­
В результате м ощ ность рассеян и я на теристики, при которой выходной ток умень­
транзисторе нам ного превыш ает н о р ­ шается в условиях короткого замыкания
мальную. или перегрузки. Идея метода видна из
Лобовое решение этой проблемы —при­ схемы рис. 6.7 — опять же на примере
менение массивных радиаторов и транзис­ стабилизатора 723 с внешним проходным
торов с большой расчетной мощностью, транзистором.
работающих в далекой от опасной облас­ Делитель в цепи базы транзистора Т0
ти характеристик (см. разд. 6.07). Но обеспечивает обратный наклон характе­
даже и в этом случае нехорошо, что в ава­ ристики при коротком замыкании. При
рийных условиях в схеме будет протекать нормальном значении напряжения выхода
слишком большой ток, поскольку могут + 15 В ток в схеме ограничен величиной
Стабилизаторы напряжения и источники питания 335

порядка 2 А, так как напряжение на базе кто быстрее выйдет из строя, — и скорее
транзистора Т равно +15,5 В, а на эмит­ всего предохранитель расплавится позже.
тере + 15 В (при повы ш енной тем п е­ Эта проблема особенно серьезна для ло­
ратуре, при которой чип стабилизатора гических схем ТТЛ, которым требуется
обычно работает, 11ъэ равно ~ 0,5 В). Ток питание + 5 В и которые не могут выдер­
короткого замыкания будет меньше; при живать больше 7 В. Другая опасная ситу­
выходе, замкнутом на землю, выходной ация создается при работе от «стендово­
ток будет ~ 0,5 А, а рассеиваемая на тран­ го» источника питания с широким диа­
зисторе Т] м ощ ность будет м еньш е, пазоном выходных напряжений, имею­
чем при полной нагрузке. Это очень хо­ щего нестабилизированное входное напря­
рошо, так как нет необходимости проекти­ жение 40 В или выше, независимо от
ровать теплоотвод с запасом, достаточно значения выходного напряжения.
его рассчитать только для случая полной Датчик перенапряжений на стабилитро­
нагрузки. Величина тока короткого замы­ не. На рис. 6.8 показана известная схема
кания при заданном токе полной нагрузки защиты, которая выпускается также в виде
определяется выбором номиналов резис­ модуля фирмами Lambda (тип L -6-OV-5)
торов токоограничивающей схемы. Пре­ и M otorola (М РС 2004). Ее вставляю т
дупреждение: будьте осторожны при вы­ между выходом стабилизатора и землей.
боре значения тока короткого замыкания! Если напряжение на выходе стабилизато­
Если переусердствовать, то можно пост­ ра превзойдет пробивное н апряж ение
роить источник питания, который «не за­ стабилитрона и прямое напряжение на ди­
пустится» на номинальную нагрузку. Ток оде (для изображенной схемы — порядка
короткого зам ыкания не должен быть 6,2 В), КУВ включится и останется в этом
слишком малым: приблизительно одна состоянии до тех пор, пока его анодный
треть токд максимальной нагрузки при пол­ ток не упадет до нескольких миллиампер.
ном выходном напряжении. Недорогой КУВ типа 2N4441 может от­
Упражнение 6.3. Спроектируйте стабилизатор с
водить ток 5 А постоянно и выдерживать
внешним проходным транзистором и обратным на­ всплески тока до 80 А, перепад напряже­
клоном характеристики при коротком замыкании, ния на нем в проводящ ем состоянии
который даст ток 1 А при стабилизированном на­ обычно равен 1 В при 5 А. Резистор
пряжении на выходе + 5 В и всего лишь 0,4 А при 68 Ом должен обеспечить нормальный ток
коротком замыкании выхода.
стабилитрона (10 мА) при вклю чении
КУВ, а конденсатор добавлен, чтобы схе­
6.06. Защита от больших напряжений ма зашиты не срабатывала от безвредных
Как было отмечено в разд. 6.03, полезно коротких всплесков напряжения.
на выходе стабилизированного источни­ Описанная схема, как и все схемы за­
ка питания иметь какую-нибудь защиту от щиты подобного типа, жестко устанавли­
превышения номинального напряжения. вает при срабатывании по напряжению на
Рассмотрим, например, источник пита­ выводах источника питания напряжение
ния + 5 В, питающий большую цифро­ «короткого замыкания» 1 В, и может быть
вую систему (мы встретим много таких выключена только при отключении пита-
примеров после гл. 7). Входное напря­
жение стабилизатора может быть от +10 до +5 В (стабилизир.) +5 В (стабилизир.)

+ 15 В. Если проходной транзистор вый­ 1N5232B Т


дет из строя и коллектор замкнется на 5,6 В га L-6-0V-5)
5% м ’ 2N 4441 (Lambda)
эмиттер (обычная неисправность), то все или
нестабилизированное напряжение будет МРС2004
приложено к питаемой схеме и резуль­ ,8
6; П (M otorola)
Ом
)м И
таты будут разрушительны. Хотя предох­ 0,1 мкФ
ранитель, возможно, и расплавится, но
вообще-то предохранитель и кремниевые
элементы в схеме будут соревноваться — Рис. 6.8. Зашита от перенапряжения.
336 Глава б

ния. Так как на КУВ в проводящем состо­ ний логических схем. И вот когда вы
янии падает небольшое напряжение, нет начнете обдумывать схему с учетом допус­
проблем с перегревом самой схемы за­ ков стабилитронов, конкретны х значе­
щиты, поэтому такая схема защиты на­ ний их номинальных напряжений и до­
дежна. Важно только, чтобы источник пусков напряжения срабатывания КУВ, то
стабилизированного питания имел какую- вам приходится решать хитрую задачу.
нибудь токоограничивающую схему или В схеме рис. 6.8 напряжение срабатыва­
хотя бы плавкий предохранитель на слу­ ния может оказаться от 5,9 до 6,6 В даже
чай короткого замыкания. Могут п оя­ при использовании обозначенного на схе­
виться проблемы с перегревом самого ме сравнительно дорогого 5%-ного ста­
стабилизатора при срабатывании схемы билитрона.
защиты. Если он содержит внутреннюю ИС-датчик перенапряжений. Проблемы,
токоограничивающую схему, то плавкий возникаю щ ие при построении простой
предохранитель не сработает и источник схемы защиты на стабилитроне и КУВ
питания так и будет сидеть на схеме (плохая предсказуемость и отсутствие под­
защиты с низким напряжением на выхо­ стройки), превосходно решаются при ис­
де, пока кто-нибудь этого не заметит. п ользовании сп ец и альн ой триггерной
Здесь хорошо применить схему защиты от И М С защ иты , так о й , н ап р и м ер , как
короткого замыкания с обратным накло­ М С3423-5, TL431 или МС34061-2. Это
ном характеристики. н едорогие И М С в удобны х корпусах
С этой простой схемой защиты связано (8-штырьковом мини-D IP или 3-вывод-
несколько вопросов, в основном по по­ ном Т О -92), н апрям ую управляю щ ие
воду выбора напряжения стабилитрона. КУВ и очень простые в использовании.
Последние выпускаются только на опре­ Например, ИМ С МС3425 имеет регули­
деленные значения пробивного напряже­ руемые порог и время срабатывания, а
ния, задаваемого, вообще говоря, с боль­ также имеет вывод для сигнализации о не­
шим допуском, и часто не имеют резкого допустимом уменьшении напряжения пи­
излома на вольт-амперной характеристике. тания (очень удобно для схем с микропро­
Вместе с тем желаемое напряжение сра­ цессорами). И М С содержит встроенный
батывания схемы защиты может быть за­ источник опорного напряжения, несколь­
дано с довольно жестким допуском. Рас­ ко компараторов и драйверов, и для по­
смотрим источник питания 5 В, питаю­ строения всей схемы защиты требуется
щий цифровую логическую схему. Обыч­ еще только два внешних резистора, КУВ
ный допуск напряжения питания состав­ и конденсатор (необязательно). Эти ИМ С
ляет 5-10% от номинала, таким образом защиты относятся к классу схем «слеже­
напряжение срабатывания схемы защиты ния за источником питания», куда входят
не может быть ниже 5,5 В. Эту цифру еще такие сложные ИМ С, как МАХ691, ко­
нужно увеличить из-за переходных про­ торые не только воспринимают падение
цессов в источнике питания: при резком напряжения, но и переключаются на ба­
изменении тока нагрузки может произой­ тарейное питание в случае отключения
ти скачок напряжения — всплеск и вслед питания в сети переменного тока, гене­
за ним затухающие пульсации. Эта про­ рируют сигнал обратного переключения
блема усугубляется, если измерительные при восстановлении нормального питания
элементы отдалены и подсоединены длин­ и непрерывно контролируют отсутствие
ными проводами (индуктивность). Полу­ замыкания в схеме микропроцессора.
чающиеся колебания накладывают дина­ Модули защиты. Зачем что-то строить,
мические помехи на уровень выходного если можно это купить?! С точки зрения
напряжения, и схема защиты не должна разработчика самой простой схемой за­
срабатывать. П оэтому ее н апряж ение щиты является приспособление с двумя
срабатывания не должно быть меньше выводами, у которого на крышке напи­
6 В, с другой стороны, оно не должно сано «защита». Вы можете купить такие
превосходить 7 В во избежание поврежде­ устройства у фирм Lambda или Motorola,
Стабилизаторы напряжения и источники питания 337

которые предлагают серию модулей за­


щиты от перенапряжения в нескольких 1 N 5347
(1 0 В)
диапазонах по току. Вы только выбираете
необходимые вам номинальные напряже­ 2N 3 05 5
ния и ток и подсоединяете защиту на
К
кОмП
выход стабилизированного источника пи­ Т "U " = 10,16 в,
тания постоянного тока. Например, са­ 1 1' т
ток 1П
п о 10
п и до А
мые маленькие устройства такого типа,
выпускаемые фирмой Lambda, рассчита­
ны максимум на 2 А при следующем на­ Рис. 6.9. Мощный «активный» стабилитрон.
боре фиксированных значений напряже­
ния: 5, 6 , 12, 15, 18, 20 и 24 В. Они м ощ ности (UcrI ) и она сам а мож ет
выпускаются в монолитном исполнении выйти из строя. Это и случалось, напри­
в корпусе ТО-66 (малый металлический мер, с серийным источником питания для
корпус для мощных транзисторов) и сто­ магнитного диска на напряжение 15 В и
ит 2,5 долл. за шт. М онолитные ИМ С ток 4 А. Когда в нем портился проходной
фирмы Lambda на 6 А выпускаются в транзистор, на стабилитроне 16 В, 50 Вт
корпусе ТО-3 (большой металлический рассеивалась мощность больше расчетной
корпус для мощных транзисторов) по цене и он тоже выходил из строя.
5 долл. за штуку. Выпускаются также
гибридные ИМС защиты на 12, 20 и 35 А. 6.07. Специальные вопросы
Вся серия МРС2000 (Motorola) выпуска­ проектирования сильноточных
ется в монолитном исполнении (только источников питания
5, 12 и 15 В, рассчитанные на номиналь­
ный ток 7,5, 15 или 35 А). Первые два Использование отдельных нестабилизиро-
номинала выпускаются в корпусе Т0-220 ванных источников для питания сильно-
(мощ ный пластм ассовы й), последний точных цепей. К ак уже упоминалось в
(только на 5 В) - в корпусе ТО-3 (мощный разд. 6.03, хорошо, как правило, исполь­
металлический). Цены неправдоподобно зовать отдельный источник для стабилит­
низкие —при покупке небольшими партия­ рона в мощном источнике питания. Таким
ми ИМС этих трех номиналов по току путем рассеивание мощ ности на про­
стоят всего лишь по 1,96, 2,36 и 6,08 долл. ходном транзисторе можно свести к ми­
соответственно. Эти схемы защиты име­ нимуму, поскольку нестабилизированное
ют одну приятную особенность — у них напряжение, которое подается на проход­
высокая точность; например, 5-вольтовое ной транзистор, может быть выбрано точ­
устройство фирмы Lambda имеет точку но таким, какое нужно для достаточного
срабатывания 6,6 ± 0,2 В. «запаса сверху» (стабилизаторы типа 723
Ограничители. Другое возможное реше­ имеют для этой цели выводы питания
ние вопроса защиты от перенапряжения — U+). Н апример, стабилизатор, дающий
установка мощного стабилитрона или его на выходе +5 В, 10 А, может работать
аналога параллельно выходу источника от входного напряжения 10 В с размахом
питания. Это снимает вопрос о срабаты­ пульсаций около 1—2 В и отдельного
вании на всплесках, так как стабилитрон источника питания +15 В для питания
немедленно перестает проводить, как элементов стабилизатора (опорный источ­
только исчезает «лишнее» напряжение (не ник, усилитель ош ибки и т. д.). К ак
то что КУВ, у которого память, как у сло­ говорилось выше, нестабилизированное
на). На рис. 6.9 показана схема «актив­ входное напряжение должно быть выбра­
ного стабилитрона». К сожалению, схема но достаточно большим в расчете на наи­
защиты на мощном стабилитроне также худший случай напряж ения в силовой
имеет свои недостатки. Если стабилиза­ линии переменного тока (200 В), а также
тор выйдет из строя, схеме защиты при­ на допуски параметров трансформатора и
дется справляться с рассеянием большой конденсатора.
338 Глава 6

источников питания имеется перемычка


на задней стенке, соединяющей измери­
тельные входы стабилизатора с его выхо­
дом, которую можно убрать для «вынесе­
ния» измерительных входов. Аналогично
включаются четырехпроводные резисторы
для измерения тока нагрузки при постро­
ении источников питания с точно удер­
живаемым постоянным значением тока в
нагрузке. Более подробно об этом опи­
сано в разд. 6.24.
Параллельное включение проходных
транзисторов. Если от источника питания
требуются большие значения выходного
Рис. 6.10. Заземление питания в общей точке («Мек­ тока, то приходится применять несколь­
ка» заземления). ко проходных транзисторов, соединенных
параллельно. При этом и з-за разброса
Линии связей. Для источников питания параметра UE3 приходится последователь­
с большим выходным током или источ­ но с эмиттером каждого из них ставить
ников прецизионного напряжения следует небольш ой резистор, как показано на
тщательно продумать линии соединений рис. 6.11. Эти резисторы приблизитель­
в самом стабилизаторе и между стабили­ но одинаково распределяют ток между
затором и его нагрузкой. Если несколько проходны ми транзисторам и. Значение
различных приборов работают в качестве R вы б и рается так и м , чтобы п аден ие
нагрузки одного стабилизатора, то все они напряжения на резисторе было ~ 0,2 В при
должны присоединяться к источнику пи­ максимальном значении выходного тока.
тания в точке, в которой подключен и Мощные ПТ могут быть соединены па­
датчик выходного напряжения стабилиза­ раллельно без дополнительных элементов
тора, иначе флуктуации тока в одной из благодаря отрицательному наклону зави­
нагрузок повлияют на напряжение, посту­ симости их тока стока от температуры
пающее к остальным нагрузкам (рис. 6. 10). (рис. 3.13).
В действительности хорошо иметь, как Область безопасной работы (ОБР). По­
показано на схеме, общую точку заземле­ следнее замечание о мощных транзисто­
ния («Мекка») для нестабилизированного рах: явление, известное как «лавинный
питания, опорного источника и т. д. Проб­ пробой», ограничивает одновременно и
лему падения напряжения в соединитель­ К
ных проводах между источником питания
и нагрузкой с большим током иногда
можно решить путем вынесения измери­
тельных элементов: клеммы, ведущие об­
ратно к усилителю ошибки и опорному
источнику, выводятся отдельно на клем­
мную колодку источника питания и могут
или присоединяться к выходам стабили­
зированного напряжения прямо на этом
месте (обычный способ), или от них могут
быть проложены шины дальше и присо­
единены к нагрузке рядом с выводами на­
пряжения питания (этот способ требует
наличия четырех проводов, два из кото­ Рис. 6.11. Применение «балластных» эмиттерных
рых должны быть рассчитаны на большие резисторов при параллельном включении мощных
токи нагрузки). У большинства серийных биполярных транзисторов.
Стабилизаторы напряжения и источники питания 339

250 мкс чения, чем максимум рассеиваемой мощ-


ности (кроме случаев малых напряжений
между коллектором и эмиттером). На
рис. 6.12 показана область безопасной
работы для широко применяемого тран­
зистора 2N3055. При [/кэ > 40 В лавин­
ный пробой ограничивает постоянный ток
коллектора до величин меньших, чем по­
зволяет максимальное значение рассеива­
емой мощности (115 Вт). На рис. 6.13
показана область безопасной работы для
двух подобных друг другу мощных высо­
Напряжение U^ В
кочастотных транзисторов: биполярно­
Рис. 6.12. Область безопасной работы мощного би­ го п —р —я-транзистора 2N6274 и « -к а­
полярного транзистора 2N3055 (с разрешения Moto­ нального М О П -транзистора VNE003A.
rola, Inc.).------------------ ограничен сечением выводов; При и кэ > 10 В лавинный пробой огра­
-------температурное ограничение 7. = 250°С (отдель­
ные импульсы);_____________ ограничение лавин­
ничивает постоянны й ток коллектора
ного пробоя. п —р —л-транзистора значениями, соответ­
ствующими мощности рассеяния мень­
ток, и напряжение, которое может быть шей, чем максимально допустимая пас­
приложено к любому конкретному тран­ портная величина 250 Вт. Эта проблема
зистору, поэтому изготовителем указы­ не столь серьезна для коротких импуль­
вается область безопасной работы (это сов и фактически перестает просматри­
совокупность диапазонов безопасных на­ ваться при длительности импульсов ме­
пряжений при данном токе в зависимос­ нее 1 мс. Обратите внимание на то, что
ти от времени его протекания). Лавинный М ОП-транзистор не подвержен лавинно­
пробой связан с образованием «горячих му пробою; его ОБР ограничена макси­
точек» в транзисторных переходах и воз­ мально допустимым током (ограничение
никающем вследствие этого н еравн о­ вносит сечение проводников, а их сопро­
мерном распределении полного тока тивление для коротких импульсов тока
нагрузки. Этот ф акт наклады вает на выше, чем на постоянном токе), допусти­
ток коллектора более жесткие ограни­ мой мощностью рассеяния и максимально
Ограничен сечением

Ограничение
пробоя
и м

Рис. 6.13. Сравнение ОБР мощного биполярного


п -р —п-транзистора и я-канального МОП-транзис-
тора.------- 2N6274 (прп):______________ VNE003A
(л-канальный МОП).
340 Глава 6

допустимым напряжением затвор—исток.


Более подробно об этом сказано в гл. 3,
там где рассматриваются мощные тран­
зисторы.

6.08. Программируемые источники


питания

Часто возникает необходимость в нали­


чии такого источника питания, который
можно регулировать вплоть до нулевого
напряжения, особенно в случае стендовых
источников, где такая гибкость сущест­ Рис. 6.15.
венна. Кроме того, часто целесообразно
«программировать» выходное напряж е­ питается от расщепленного источника, не
ние каким-либо другим напряжением, возникает трудностей, обусловленны х
цифровым кодом или, например, ручным низким выходным напряжением.
переключателем. На рис. 6.14 показана Чтобы сделать стабилизатор программи­
классическая схема источника питания, руемым внешним напряжением, просто
допускающая регулировку Uem вплоть до заменим Um напряжением, задаваемым
нулевого (в отличие от схем, использую­ извне (рис. 6.15). Остальная часть схемы
щих ИМС 723). Отдельный расщепленный останется без изменений. Резистор R, те­
источник питания питает стабилизатор перь будет масштабировать U
и дает точное опорное отрицательное на­ Управление цифровым кодом можно
пряжение (об опорных источниках под­ получить заменой опорного напряжения
робнее см. разд. 6.14 и 6.15). Резистор Rt на устройство, называемое «цифроанало­
служит для установки выходного напря­ говый преобразователь» (ЦАП) с токоот­
жения, и, так как инвертирующий вход бирающим выходом. Эти устройства, ко­
потенциально заземлен, оно может ме­ торые мы рассмотрим позже, преобразу­
няться до нуля (при нулевом сопротивле­ ют д в о и ч н ы й код н а входе в
нии /?,). Поэтому, когда схема стабилиза­ пропорциональный по току (или напря­
тора (это может быть интегральная схема жению) сигнал на выходе. Хорошим вы­
или собранная из отдельных элементов) бором здесь будет устройство AD7548 —
м о н о л и т н ы й 1 2 -р а зр я д н ы й ЦА П с
токоотбирающ им выходом стоимостью
около 9 долл. Заменив R2 на ЦАП, полу­
чим источник питания, программируемый
цифровым кодом с шагом задания выход­
ного напряжения, равным 1/4096 (2~ 12)-
Так как на инвертирующем входе потен­
циальная земля, от ЦАП не требуется зна­
чительного рабочего диапазона по напря­
жению. На практике Rt используется для
выставления определенного масштаба пре­
образования цифрового кода, например
1 мВ на единицу входного кода.

6.09. Пример схемы источника питания

Лабораторный стенд питания, схема ко­


Рис. 6.14. Стабилизатор с регулируемым до 0 В торого показана на рис. 6.16, дает воз­
выходом. можность собрать вместе все проектные
Стабилизаторы напряжения и источники питания 341

8,5 А

Рис. 6.16. Лабораторный блок питания.

идеи. Для стендового питания общего выходного напряжения. Последнее объяс­


назначения важна возможность регулиро­ няется тем, что н естаби ли зирован н ое
вать выход стабилизированного питания входное напряжение должно быть доста­
вплоть до нулевого напряжения, поэтому точно большим, чтобы обеспечить макси­
для питания стабилизатора используется мум стабилизированного выходного на­
дополнительный расщепленный источник. пряжения, а в результате при низком на­
ИС, - это высоковольтный операцион­ пряжении на выходе падение напряжения
ный усилитель, который может работать на проходных транзисторах будет боль­
при полном напряжении питания 80 В. шим. В некоторых источниках эта про­
На выходе в качестве проходного транзи­ блема реш ается тем, что используется
стора мы использовали параллельно вклю­ несколько диапазонов выходного напря­
ченные мощные М ОП-транзисторы, ис­ ж ен и я и соответствен но этому п ере­
ходя из двух соображений —простоты воз­ ключается и нестабилизированное вход­
буждения затвора и превосходной ОБР ное напряжение. Имеются даже схемы,
(характеристическая особенность всех в которых нестабилизированный вход по­
мощных МОП-транзисторов). Такая ком­ ступает с регулируемого напряж ением
бинация обеспечивает рассеяние достаточ­ трансф орм атора, управляемого в такт
ной мощности (60 Вт на транзистор при с выходом. В обоих случаях, правда, те­
температуре корпуса 100 °С), необходимую ряется возможность дистанционного про­
даже для умеренных значений тока, если граммирования.
обеспечивается столь широкий диапазон
342 Глава 6

Упражнение 6.4. Чему равна максимальная мощ­ подвести измерительные цепи к самой
ность рассеяния в проходных транзисторах на этой нагрузке, избегая падения напряжения на
схеме?
связующих проводниках, создающих па­
— это прецизионный многодекадный разитные цепи обратной связи.
потенциометр для прецизионной и линей­
ной регулировки выходного напряжения. 6.10. Другие ИМС стабилизатора
Выходное напряж ение сравнивается с
опорным, получаемым от прецизионного ИМ С 723 была оригинальным стабили­
стабилитрона 1N829 (температурный ко­ затором напряжения и все еще остается
эффициент 5—10"6/°С при токе стабилит­ полезной. Существуют несколько улуч­
рона 7,5 мА). Эта схема ограничения тока шенных версий, которые, однако, во мно­
существенно лучше простого токового гом работают таким же образом, и вам
ограничителя, который обсуждался выше, при проектировании стабилизированного
так как при использовании стендового пи­ источника питания следует их рассмот­
тания иногда желательно установить точ­ реть. Микросхемы LAS 10000 и LAS 1100
ный и стабильный предел тока нагрузки. ф ирмы Lam bda, а также SG3532, вы ­
Обратите внимание на необычный (но пускаемая фирмой Silicon General, мо­
удобный) метод ограничения тока путем гут работать при уменьшении входного
его отвода через предназначенный для ча­ напряжения вплоть до 4,5 В, поскольку в
стотной коррекции вывод микросхемы них используется «опорный источник с
ИС,, у которого при работе с малым напряжением запрещ енной зоны» (см.
током коэффициент усиления равен еди­ разд. 6.15), выдающий 2,5 В, а не 7,15 В
нице. Обеспечивая высокую степень ста­ напряжения стабилитрона, как в ИМ С
билизации как нап ряж ени я (во всем 723. В этих микросхемах имеются также
диапазоне вплоть до О В), так и тока, дан­ встроенные схемы, отключающие питание
ное устройство становится достаточно гиб­ кристалла при его перегреве; сравните
ким в использовании лабораторным ис­ с решением в стабилитроне 723 (выгора­
точником питания. При этом указанный ние!). Хотя у этих стабилизаторов вы­
способ ограничения тока делает этот ис­ воды с теми же названиями, вы не можете
точник питания также удобным источни­ просто вставить их в разъем, предназна­
ком неизменного тока. Т ранзистор Т4 ченный для ИМ С 723, так как (помимо
обеспечивает постоянную токовую на­ прочих отличий) они предполагают более
грузку 100 мА, обеспечивая хороший ра­ низкое опорное напряжение. Еще один
бочий режим схемы даже при значениях стабилизатор, подобный ИМ С 723, — это
выходных напряжения или тока, близких МС1469 (и его двойник с отрицательным
к нулю, за счет удержания проходных питанием МС1463) фирмы Motorola.
транзисторов в активном режиме. Этот Если вы посмотрите на современные
приемник тока позволяет источнику пи­ схемы источников питания, то вы не часто
тания «поглощать» некоторый ток от на­ встретите ИМ С 723 или даже ее только
грузки без увеличения выходного напря­ что перечисленные улучшенные версии.
жения. Это целесообразно при работе с Вместо этого вы увидите главным обра­
некоторыми необычными видами нагруз­ зом такие ИМ С, как 7805 или 317, при­
ки, с которыми иногда приходится стал­ мечательной особенностью которых явля­
киваться, например, прибор с собствен­ ется отсутствие внешних элементов (ИМ С
ным источником питания, который мо­ 7805 не требуется ни одного!). В боль­
жет подать некоторы й ток на выводы шинстве случаев вы можете получить все
стенда питания. параметры, которые вам требуются, от
Отметим наличие внешних измеритель­ этих имеющих высокую степень интег­
ных входов, не слишком правильно соеди­ рации и простых в использовании «трех­
ненных с входными клеммами источника вы водны х» ста б и л и зато р о в , вклю чая
питания. Для прецизионного регулирова­ сюда большой выходной ток (до 10 А)
ния напряжения на нагрузке следовало бы без внеш них проходных транзисторов,
Стабилизаторы напряжения и источники питания 343

подстраиваемое выходное напряжение, ного контакта одной из шин питания (от


превосходную степень стабилизации и сети) с кожухом прибора. Если кожух
встроенные схемы ограничения тока и заземлен, то при такой неисправности
термовыключатель. Вскоре мы погово­ просто сгорит предохранитель.
рим о них, но вначале в качестве прелю­ Линейный фильтр и устройство подавле­
дии рассмотрим а) проектирование не- ния переходных процессов. В этой схеме
стабилизированного источника питания и мы п р и м ен яем простой сетевой L C -
б) источники опорного напряжения. фильтр. Вообще часто обходятся без та­
ких фильтров, но с ними лучше, во-пер­
вых, потому, что они препятствуют воз­
НЕСТАБИЛЮИРОВАННЫЕ ИСТОЧНИКИ можному радиоизлучению из силовых
ПИТАНИЯ проводов, а во-вторых, потому, что эти
фильтры убирают помехи, которые наво­
Все стабилизированные источники пита­ дятся извне в линии питания. Фильтры
ния требуют для своей работы источника для линий питания с великолепными па­
«нестабилизированного питания посто­ раметрами выпускают несколько фирм,
янного тока», который мы начали рас­ например, Corcom, Cornell-Dubilier, Spra­
сматривать в разд. 1.27 вместе с расчета­ gue. Эксперименты показали, что боль­
ми выпрямителей и величины пульсаций. шие всплески (от 1 до 5 кВ) иногда слу­
Посмотрим на данный предмет более де­ чаются в любых линиях сетевого питания,
тально, начав со схемы, представленной а всплески поменьше встречаются чаще.
на рис. 6.17. Это источник нестабилизи­ Сетевые фильтры довольно эффективно
рованного питания +13 В (н ом инал), снижают действие таких помех.
предназначенный для использования со Во многих ситуациях желательно ис­
стабилизатором + 5 В, 2 А. Рассмотрим пользование «гасителя переходных про­
эту схему слева направо, отмечая вопро­ цессов», показанного на схеме. Это —
сы, о которых надо помнить при проек­ устройство, которое проводит ток, как
тировании подобных устройств. только напряжение на его выводах превос­
ходит определенный предел (действует как
6.11. Компоненты линии двусторонний высоковольтный стабилит­
переменного тока рон). Устройства эти невелики и дешевы
и могут гасить опасные импульсы тока в
Трехпроводная связь. Всегда используйте сотни ампер. Гасители переходных про­
трехпроводный шнур с нейтральной зеле­ цессов выпускаются многими фирмами,
ной жилой, присоединенной к кожуху при­ например, G E и Siemens. В табл. 6.2 и
бора. Без заземления прибор может ока­ 6.3 приведены данные фильтров радиоча­
заться смертоносным в случае пробоя стотных помех и гасителей переходных
изоляции трансформатора или случай­ процессов.
1A-SB 10 В (эф ф.) Диодный
3А мост
Varo VH247

1,0 кОм
1/2 Вт

СИД
Stancor 12000 мкФ 25 В - ± - - ± - MV6151
Линейный фильтр Р-8380 Sprague ” ~
п ерем.тока 36D1236025AF2A
Corcom 1R1

Рис. 6.17. Нестабилизированный источник питания со схемой связи с сетью переменного тока. Обратите
внимание на цветовую маркировку проводов сетевого питания.
Таблица 6.2. Гасители переходных процессов перем енного тока 130 В

Фирма- Обозначение Диаметр, Энергия, Пиковый Емкость,


изготовитель мм Вт с ток, А пФ

GE V130LA1 8,64 4 500 180


Siemens S07K130 8,89 6 500 130
GE V130LA10A 16,51 30 4000 1000
Siemens S14K130 17,02 22 2000 1000
GE V130LA20B 22,61 50 6000 1900
Siemens S20K130 23,11 44 4000 2300

Таблица 6.3. Фильтры для линий переменного тока 115 В

Фирма- Обозначение' Схема Ток, Типичное ослабление" Соединительные


изготовитель А (50 0м/50 Ом), дБ выводы
150 кГц 500 кГц 1 МГц

Corcom 3EF1 л-образный 3 15 25 30 Общего назначения


ЗЕС1 « 3 20 30 37 Высокое ослабление
3EDSC2-2 « 3 32 37 44 С предохранителем
2EDL1S « 2 14 24 С предохранителем и вы­
ключателем

Curtis F2100CA03 « 3 15 25 30 Общего назначения


F2400CA03 « 3 22 35 40 Высокое ослабление
F2600FA03 « 3 21 35 41 С предохранителем
РЕ810103 « 3 18 24 30 С предохранителем и вы­
ключателем

Delta 03GEEG3H « 3 24 30 38 Общего назначения


03SEEG3H Двойной л-об­ 3 42 65 70 Высокое ослабление
разный
04BEEG3H тг-образный 4 26 35 40 С предохранителем
03СК2 « 3 35 40 40 С предохранителем и вы­
ключателем
03CR2 Двойной л-об- 3 50 60 55 То же, высокое ослабле­
разный ние

Schaffner FN323-3 л-образный 3 22 32 36 Общего назначения


FN321-3 « 3 35 43 46 Высокое ослабление
FN361-2 « 2 25 40 46 С предохранителем
FN291-2,5 « 2,5 25 40 46 С предохранителем и вы­
ключателем
FN 1393-2,5 « 2,5 40 45 42 То же, высокое ослабле­
ние

Sprague 3JX5421A « 3 15 25 30 Общего назначения


3JX5425C « 3 20 30 37 Высокое ослабление
200JM6-2 « 6 12 25 — С предохранителем

11Значения ослабления радиочастотных помех измерены в 50-омной системе и их нельзя брать за основу,
чтобы предсказать характеристики фильтра в сети переменного тока.
Стабилизаторы напряжения и источники питания 345

Плавкий предохранитель. П л авки й для предохранителей 3AG, которые почти


предохранитель — существенная деталь универсальны для любого электронного
любого предмета электронного оборудова­ оборудования), делайте это таким обра­
ния. Большие щитовые предохранители зом, чтобы человек, меняющий предох­
на 15-20 А не защитят электронное обо­ ранитель, не мог случайно коснуться си ­
рудование, поскольку они срабатывают ловой линии. Для этого нужно «горячий»
только в случае п ревы ш ен ия общ его провод подводить только к заднему выво­
расчетного тока проводки. Например, если ду предохранителя (один из авторов убе­
проводка в здании сделана проводами дился в этом на собственном опыте!).
четы рнадц атого н о м ера с е ч е н и я , то Серийно выпускаемые сетевые коннек­
предохранители будут рассчитаны на 15 А. торы с встроенным держателем предох­
Если же замкнется накоротко конденса­ ранителя сделаны обычно так, что предох­
тор фильтра в только что рассмотренной ранитель нельзя достать, не сняв разъем
схеме (довольно обычная неисправность), питания.
то ток в первичной обмотке трансформа­ Риск электрического удара. Из изло­
тора может достичь 5 А вместо обычного женного следует, что неплохо было бы все
0,25 А. Общий предохранитель не сгорит, места соединений внутри прибора, на ко­
но ваш прибор превратится в электроплит­ торых есть напряжение сети, изолировать
ку или костер, поскольку на трансфор­ тефлоновыми трубками («кембриками»),
маторе будет рассеиваться мощность бо­ даю щ ими усадку при нагревании (и с­
лее 500 Вт! пользование внутри электронных приборов
Несколько замечаний о плавких пре­ «фрикционной» ленты или электрической
дохранителях. Во-первых, в блоках пита­ изоляционной ленты —это чистая партизан­
ния лучше использовать медленно действу­ щина). Поскольку большинство транзи­
ющие предохранители, поскольку имеют сторных схем работает на относительно
место большие токи переходных процес­ низких постоянных напряжениях - от + 15
сов при включении (например, при за­ до ± 30 В или около, единственное мес­
рядке конденсаторов фильтра). Во-вто­ то в большинстве электронных приборов
рых, вы можете кое-что недоучесть при (конечно, есть и исключения), где может
расчете номинального тока срабатывания стукнуть током, — это провода силового
предохранителя. Дело в том, что в ис­ питания. Очень коварен в этом отноше­
точнике питания постоянного тока вели­ нии выключатель на передней панели
ко отношение эффективного (действую­ устройства, так как он близок к другой,
щего) значения тока к его среднему зна­ низковольтной проводке. Ваш измеритель­
чению ввиду малости угла проводимости ный прибор (в худшем случае — ваши
(части цикла, когда диоды выпрямителя руки) может легко вступить в контакт с
находятся в проводящ ем состоян и и ). этим напряжением при измерительных ра­
Проблема усугубляется, когда конденса­ ботах.
торы фильтра имеют большую емкость. Полезные мелочи. Мы предпочитаем
В результате эффективное значение тока использовать «входные силовые модули»,
будет значительно выше, чем вы могли бы включающие в себя 3-контактный разъем
предположить. Лучше всего в этой ситуа­ IEC (позволяющий вытаскивать шнур се­
ции поступать следующим образом: изме­ тевого питания) и некоторый набор из
рить ток амперметром «истинного действу­ сетевого фильтра, держателя предохра­
ющего значения», а затем выбрать предох­ нителя и сетевого выключателя. Например,
ранитель с током срабатывания по меньшей разъемы серии FN380 фирмы Schaffher
мере на 50% большим измеренной вели­ (или серии L фирмы Corcom) имеют все
чины (чтобы учесть перенапряжение в сети, перечисленные компоненты и могут про­
эффект «усталости» предохранителя и пускать ток до 2—6 А. Есть модификации
т. п.). И наконец, последнее замечание. разъемов этой серии, позволяющие вклю­
Подводя провода к держателю предохра­ чать плавкие предохранители и разрывать
нителя (к тому, что обычно применяется при выключении одну или обе линии сети,
346 Глава 6

и кроме того, они имеют фильтры не­ изготовители долго раскачивались с вы­
скольких конфигураций. Перечислим еще пуском трансформаторов на те значения
несколько изготовителей подобного типа напряжения и тока, которые подходят для
разъемов: это фирмы Curtis, Delta и Power транзисторны х схем (каталоги забиты
Dynamics (табл. 6.3). трансформаторами, разработанными еще
В представленной на рис. 6.17 схеме мы для электронных ламп), и нужный вам
применили для индикации включения пи­ трансформатор часто приходится мотать
тания светоизлучающий диод (СИД) с то­ самому, чего вам совсем не хочется. Отли­
коограничивающим («гасящим») резисто­ чается от прочих фирма Signal Transfor­
ром, запитанный от нестабилизированного m er Com pany, предлагаю щая больш ой
напряжения постоянного тока. Вообще выбор трансформаторов и быстро их по­
говоря, лучше подавать на СИД стабили­ ставляющая. Не проглядите возможность
зи рованн ое н ап ряж ени е — здесь нет получить трансформаторы, сделанные на
всштесков при выключении нагрузки и не заказ, если вам их требуется больше не­
проявляются колебания напряжения сети. скольких штук.
Цепь из последовательно соединенных Даже если считать, что у вас есть такой
резистора 100 Ом и конденсатора 0,1 мкФ, трансформатор, какой вы хотите, все рав­
поставленная параллельно первичной об­ но еще надо решить, какие величины на­
мотке трансформатора, предупреждает пряжения и тока будут для вас наилуч­
появление больших переходных процес­ шими. Чем меньше входное напряжение
сов индуктивного характера, которые мог­ стабилизатора, тем меньш е рассеяние
ли бы возникать при выключении. Часто мощности на проходном транзисторе. Но
обходятся без такой цепи, но лучше этого надо быть абсолютно уверенным в том,
не делать, особенно в оборудовании, ко­ что входное напряжение стабилизатора не
торое будет работать рядом с ЭВМ или упадет ниже необходимого минимума —
другим цифровым устройством. Иногда обычно от 2 до 3 В над уровнем стабили­
такие ЛС-амортизаторы ставят параллель­ зированного напряжения, — иначе мож­
но выключателю, что то же самое. но получить провалы стабилизированно­
го уровня с пульсациями на удвоенной ча­
6.12. Трансформаторы стоте сети. Здесь сказываются пульсации
нестабилизированного напряжения, по­
Теперь о трансформаторе. Никогда не скольку существует минимум входного
стройте прибора, работающего от сети напряжения для стабилизатора, превы­
переменного тока без трансформатора! Так шающий некоторое критическое напря­
поступать —это играть с огнем. Бестранс- жение. Рассеяние мощности на транзис­
форматорные источники питания, пред­ торе определяется средним значением
почитаемые некоторыми потребителями входного напряжения стабилизатора.
электронной аппаратуры (радиоприемни­ Для примера: в стабилизаторе на + 5 В
ки, телевизоры и т. д.) за их дешевизну, можно иметь входное напряжение +10 В
ставят схему под высокое напряжение по при минимуме пульсации, которая сама
отношению к внешнему заземлению (во­ по себе может легко достигать 1—2 В. Зная
допроводные трубы и т.п.). Этого не дол­ напряжение во вторичной обмотке, мож­
жно быть в приборах, предназначенных но получить довольно точную оценку на­
для связи с каким-либо другим оборудо­ пряжения постоянного тока, снимаемого
ванием, и вообще этого следует избегать. с выпрямительного моста: на вершине
Будьте крайне осторожны, работая с по­ пульсации это пик выпрямленного на­
добным оборудованием: даже простое под­ пряжения, приблизительно в 1,4 раза боль­
ключение щупа осциллографа к шасси ший среднеквадратичного значения на­
может дать очень неприятный эффект. пряжения вторичной обмотки, за выче­
Выбор трансформатора — более слож­ том падения напряжения на двух диодах.
ное дело, чем можно было бы ожидать. Однако нужно провести и практические
Одна из причин заключается в том, что измерения, если вы стараетесь построить
Стабилизаторы напряжения и источники питания 347

стабилизатор с минимальным падением жать худший вариант —отсутствие нагруз­


напряжения на нем, так как истинное зна­ ки и максимальное напряжение сети. Для
чение выходного напряжения нестабили- схемы на рис. 6.17 пульсации составят
зированного источника питания зависит 1,5 В (двойное ампл. значение) при пол­
также от параметров трансф орм атора, ной нагрузке. Из опыта проектирования
которые трудно учесть заранее: сопротив­ мож но реком ен довать и сп ользован и е
ление обмотки и магнитная проницае­ электролитических конденсаторов, подоб­
мость сердечника, которые влияют на н а­ ных тем, которые используются в ЭВМ
пряжение под нагрузкой. Удостоверьтесь, (они выпускаются в виде цилиндров с
что измерения производятся в наихудших резьбовым выводом с одной стороны),
условиях: полная нагрузка и минималь­ например типа Sprague 36D. На неболь­
ное напряжение питающей сети. П омни­ шие значения емкостей большинство из­
те, что большие конденсаторы фильтра готовителей выпускают конденсаторы та­
имеют очень большой разброс: от —30 до кого же качества в варианте с осевыми
+ 100%. Есть смысл применять трансфор­ выводами (по одному проводнику торчит
маторы с набором входных клемм на пер­ с каждого конца), например типа Sprague
вичной обмотке, если они доступны, для 39D. Помните о большом допуске значе­
окончательной регулировки выходного ний емкости!
нап ряж ени я. Т р ан сф орм аторы серий Здесь полезно вернуться к разд. 1.27,
Triad F-90X и Stancor ТР обладают в этом где впервые обсуждался вопрос о пульса­
смысле большой гибкостью. циях. Всегда, кроме случая импульсных
Еще одно замечание о трансформато­ стабилизаторов (разд. 6.19 и следующие),
рах: иногда расчет тока делается для эф ­ можно прикинуть напряжение пульсаций,
фективного тока вторичной обмотки, в считая выходной ток постоянным и рав­
частности для трансформаторов для ра­ ным максимальному току нагрузки. Д ей­
боты с омической нагрузкой (например ствительно, вход подключенного к схеме
для трансформаторов накала). Так как стабилизатора потребляет постоянны й
схема выпрямителя проводит ток в тече­ ток. Это упрощает расчеты, поскольку
ние только малой части цикли (в то время, разряд конденсатора происходит по ли ­
когда конденсатор действительно заря­ нейному закону и не надо возиться с по­
жается), эффективное значение тока и стоянными времени или экспонентами
рассеиваем ая м ощ ность ( / 2 R) могут (рис. 6.18).
превзойти допустимое значение тока на­ Например, вы хотите выбрать конден­
грузки, соответствующее расчетному сред­ сатор фильтра для нестабилизированной
неэфф ективному значению . С итуация части источника питания +5 В, 1 А, и
усугубится, если увеличить емкость кон­ предположим, что уже выбрали транс­
денсатора для сглаживания пульсаций до форматор с эффективным значением на­
стабилизатора, — это просто потребует пряжения вторичной обмотки 10 В, обес­
больш ей м ощ ности тран сф орм атора. печиваю щ ий после выпрямителя 12 В
В этом отношении лучше двухполупери­
одный выпрямитель, поскольку он ис­
пользует большую часть периода напря­
жения переменного тока.

6.13. Элементы схемы,


работающие на постоянном токе

Конденсатор фильтра. Конденсатор филь­


тра выбирается достаточно большой ем­
кости для уменьшения пульсаций до при­
емлемой величины и рассчитывается на
достаточное напряжение, чтобы выдер­ Рис. 6.18.
348 Глава 6

постоянного тока на пике пульсации при Тогда 2 В = T\dU/dT) =Т1/С = 0,008 с х


полном токе нагрузки. При минимальном х 1,0/С, откуда С = 4000мкФ. Электро­
падении напряжения на проходном тран­ литический конденсатор 5000 м кФ на
зисторе стабилизатора на 2 В входное 25 В — это выбор с подстраховкой из-за
напряжение стабилизатора не должно ни­ возможного 20%-ного допуска значения
когда падать ниже +7 В (знакомая вам емкости конденсатора. При выборе кон­
ИМС 723 требует +9,5 В, но соответству­ денсатора фильтра не забывайте о следу­
ющие трехвыводные стабилизаторы, опи­ ющем: конденсатор излиш не больш ой
санные ниже, в разд. 6.16, оказываю т­ емкости не только съедает пространство,
ся более покладистыми). Так как надо но и увеличивает нагрев трансформатора
подстраховаться от возможных отклоне­ (ум ен ьш ая угол п ровод и м ости и тем
ний напряжения в сети на 10% в любую самым увеличивая отнош ение / Эфф//.р).
сторону, максимальный размах пульса­ Кроме того, это увеличивает и нагрузки
ций не должен превышать 2 В за период. на выпрямитель.

Таблица 6.4. Выпрямители

Тип Напряжение Прямой Средний Корпус и Примечания


пробоя перепад ток выводы,
tw £/„„» (тип.), I ср .вы пр’ вид монтажа
в В А
Обшецелевые
1 N4001-7 50-1000 0,9 1 Проводами Популярный
1N5059-62 200-800 1,0 2 То же
1N5624-72 200-800 1,0 5 —»—
1N1183А-90А 50-600 0,9 40 Штыревой Популярный; - R для мар­
кировки обратной поляр­
ности
Быстрое восстановление (t =0,1 мкс тип.)
1N4933-7 50-600 1,0 1 Проводами
1N5415-9 50-500 1,0 3 То же
1N3879-83 50-400 1,2 6 Штыревой - R для маркировки обрат­
ной полярности
1N5832-34 50-400 1,0 20 То же То же
Шоттки (малое V , высокое быстродействие)
1N5817-19 20-40 0,6 макс. 1 Проводами
1N5820-22 20-40 0,5 макс. 3 То же
1 N5826-28 20-40 0,5 макс. 15 Штыревой
1N5832-34 20-40 0,6 макс. 40 То же
Двухполупериодные мосты
3N246-52 50-1000 0,9 1 Пластмассовый MDA100A
однорядный
3N253-59 50-1000 2 То же MDA200
MDA970AI-A5 50-400 0,85 8 Монтируется на
шасси
MDA3500-10 50-1000 35 То же
Специального назначения
GH A570A-A640L 100-2000 1,0 макс. 1500 Типа большой Ток!
кнопки
Semtech SCH5000- 5000-25000 7-33 макс. 0,5 Проводами Высокие напряжения, ток,
25000 быстродействие (0,2 мкс)
Varo VF25-5-40 5000-40000 12—50 макс. 0,025 То же Высокое напряжение
Semtech SCKV100K3 100-200 кВ 150-300 0,1 Пластмассовый Очень высокое напряжение
-200КЗ стержень

11 Измеряется при среднем токе.


Стабилизаторы напряжения и источники питания 349

«Гасящий» резистор с СИД, установлен­ преобразователи постоянного тока, см.


ные параллельно выходу на схеме рис. 6.17, разд. 6.19), используются диоды с быст­
разряжают конденсатор за несколько се­ рым восстановлением, например, одноам­
кунд в условиях отсутствия нагрузки. Это перны е диоды серии 1N4933. В н и з­
полезно, так как, если конденсатор ис­ ковольтных схемах может оказаться жела­
точника питания остается заряженным тельным использование диодов Шоттки,
после того как источник выключен, можно например, серии 1N5823 с прямым паде­
легко повредить какие-нибудь схемные нием напряжения менее 0,4 В при токе 5 А.
элементы, ошибочно считая, что напря­
жения в схеме нет. ИСТОЧНИКИ ОПОРНОГО НАПРЯЖЕНИЯ
Выпрямители. Прежде всего следует от­
метить, что диоды, применяемые в ис­ Необходимость в хорош ем источнике
точниках питания, это совсем не то, что опорного напряжения часто возникает во
малосигнальные диоды 1N914, прим е­ многих схемах. Н апример, вам нужно
няемые в схемотехнике. Сигнальные ди­ построить прецизионный источник стаби­
оды рассчитаны на высокое быстродей­ лизированного питания с лучшими харак­
ствие (несколько наносекунд), малые токи теристиками, чем у готовых стабилизато­
утечки (несколько наноампер) и малую ров типа 723 (поскольку интегральные
емкость (несколько пикофарад); они мо­ схемы стабилизаторов рассеивают замет­
гут выдерживать ток до 100 мА, а напря­ ную мощность из-за наличия встроенных
жение пробоя редко превосходит 100 В. проходных транзисторов, они могут до­
Выпрямительные диоды и мосты, пред­ вольно ощутимо нагреваться с соответ­
назначенные для работы в источниках пи­ ствующим дрейфом параметров), или нуж­
тания, выдерживают ток от 1 до 25 А и но построить прецизионный источник тока
более, а напряжение пробоя их —от 100 до (т. е. схему со стабилизированным вы ­
1000 В. У них сравнительно большие токи ходным током). Далее, есть еще одна об­
утечки (от микроампер до миллиампер) ласть, в которой нужны прецизионные ис­
и довольно большая емкость переходов. точники опорных напряжений (но не пре­
Они не предназначены для высоких ско­ цизионные источники питания), — это
ростей переклю чения. П еречень ряда проектирование точных вольтметров, ом ­
широко применяемых типов выпрямите­ метров или амперметров.
лей приведен в табл. 6.4. Существуют два вида источников опор­
Типичными представителями вы пря­ ного напряжения — стабилитроны и так
мителей являю тся устрой ства серии называемые источники опорного напря­
1N 4001—1N4007, рассчитанные на ток жения с шириной запрещенной зоны по­
1 А, с напряжением обратного пробоя от лупроводника («1/вэ — стабилитроны», см.
50 до 1000 В. Серия 1N5625 рассчитана на разд. 6.15); каждый из них может исполь­
3 А, что является почти наивысшим воз­ зоваться как сам по себе, так и в составе
можным значением тока для элемента в ИМС источника опорного напряжения.
герметичном корпусе с выводами под пе­
чатный монтаж (охлаждение за счет теп­ 6.14. Стабилитроны
лопроводности выводов). П опулярная
серия IN 1183А - типичные сильноточные, Простейшим видом источников опорного
оснащенные штыревыми выводами вып­ напряж ения является ста б и л и тр о н -
рямители, с расчетным током 40 А и на­ прибор, который мы рассматривали в
пряжением пробоя до 600 В. Популярны разд. 1.06. В сущности это диод, рабо­
и мостовые выпрямители в пластиковых таю щ ий при об ратн ом см ещ ен и и на
корпусах, монтируемые на печатных пла­ участке, соответствующем напряжению
тах, с расчетным током 1 и 2 А и монти­ пробоя, где ток пробоя очень быстро воз­
руемые на шасси, рассчитанные на 25 А растает при дальнейш ем росте н ап р я­
и более. Для тех применений, где важно ж ения. Чтобы использовать этот диод
высокое б ы стродействи е (н ап р и м ер , в качестве источника опорного напряже­
350 Глава 6

ния, надо обеспечить прохождение через


него приблизительно постоянного тока.
Обычно это делается с помощью резис­
тора, подключенного к достаточно высо­
кому напряжению, и таким образом стро­
ится наиболее примитивный стабилизи­
рованный источник.
Стабилитроны выпускаются на целый
ряд значений напряжения - от 2 до 200 В
(их напряжения имеют тот же набор зна­
чений, что и сопротивления стандартных
5%-ных резисторов), с допустимой мощ­
ностью рассеяния от долей ватта до 50 Вт
а
и допуском на напряжение стабилизации
от 1 до 20%. Привлекательные на первый
взгляд в качестве опорных источников
напряжения для различных целей стаби­
литроны, однако, не так просты в ис­
пользовании по многим причинам: они
имеют конечный набор значений напря­
жения, у них большой допуск на напря­
жение стабилизации (кроме дорогих пре­
цизионных стабилитронов), они сильно
шумят и их напряжение зависит от тока и
температуры. Вот пример двух последних
эффектов: стабилитрон на 27 В из распро­
страненной серии 1N5221 стабилитронов
на 500 мВт имеет температурный коэф­
фициент порядка +0,1%/°С, и в силу это­ Рис. 6.19. Зависимость дифференциального сопро­
го его напряжение меняется на 1%, когда тивления стабилитронов (а) и вариаций напряже­
ток изменяется от 10 до 50% от макси­ ния стаблизации стабилитронов (б) от номиналь­
ного напряжения стабилизации (с разрешения
мального. Motorola, Inc.).
Есть исключение из правила о плохих
характеристиках стабилитронов. Оказы­ литрона приблизительно на 5,6 В и после­
вается, что в окрестности значения напря­ довательно с ним соединенного диода,
жения стабилизации 6 В стабилитроны смещенного в прямом направлении. На­
мало чувствительны к изменениям тока пряжение стабилитрона выбирается так,
и при этом имеют почти нулевой темпера­ чтобы взаимно компенсировать положи­
турный коэффициент. Этот эффект виден тельный тем пературны й коэф ф и ци ен т
на кривых рис. 6.19, полученных путем стабилитрона и отрицательный темпера­
измерения стабилитронов с разными на­ турный коэффициент диода, соответст­
пряжениями. Это характерное поведение вующий около —2,1 мВ/°С.
связано с тем, что в стабилитронах в дей­ Как видно из рис. 6.20, температурный
ствительности используются два разных коэффициент зависит от рабочего тока,
механизма пробоя: зенеровский и лавин­ а также от напряж ения стабилитрона.
ный; первый - при низком напряжении, П оэтом у, вы бирая ток стаби ли трона,
второй - при высоком. Если стабилитрон можно как-то «подстроить» температур­
используется только как стабильный ис­ ный коэффициент. Из таких стабилитро­
точник напряжения и вам все равно, ка­ нов со встроенными последовательно ди­
ково будет это напряжение, то лучше всего одами получаются неплохие источники
взять один из компенсированных опор­ опорного напряжения. Для примера: се­
ных стабилитронов, состоящих из стаби- рия деш евых стабилитронов на 6,2 В
Стабилизаторы напряжения и источники питания 351

билитрона. О п ераци он ны й усилитель


включен как неинвертирующий усилитель
и имеет на выходе стабильное напряже­
ние, равное + 10,0 В, которое использу­
ется для получения прецизионного тока
7,5 мА. Это самозапускающаяся схема, но
она может включиться с любой полярно­
стью на выходе! При «неправильной» по­
лярности стабилитрон работает как обыч­
ный диод с прямым смещением. Вклю­
ч е н и е о п е р а ц и о н н о г о у си л и тел я от
однополярного источника питания сни­
ис, в мает эту странную особенность. Прежде
Рис. 6.20. Зависимость температурного коэффициен­ чем ставить в схему тот или иной ОУ,
та напряжения стабилизации стабилитронов от их но­ убедитесь, что его диапазон синфазных
минального напряжения (с разрешения Motorola, Inc.). входных сигналов включает в себя потен­
циал минусовой шины источника пита­
1N821 имеет температурные коэффици­ ния (ОУ с «однополярным питанием»).
енты от Ю ^У С (1N 821) до 5- 1(Г6/°С Существуют ком пенсированны е сп е­
(1N829), а стабилитроны 1N940 и 1N946 циальные стабилитроны с гарантирован­
на 9 В и 11,7 В имеют температурный ной временной стабильностью напряже­
коэффициент 2- 10~6/°С. ния; этот параметр, как правило, не ука­
Задание рабочего тока стабилитрона. зывается. П римеры - серия 1N3501 и
Описанные выше компенсированные ста­ 1N4890. Стабилитроны такого типа име­
билитроны могут использоваться в схе­ ют гарантированную стабильность 5 х
мах в качестве источников стабильного на­ х 10'6/1000 ч или еще лучше. Они неде­
пряжения, но надо обеспечить питание их шевы. В табл. 6.5 собраны характерис­
постоянным током. Для серии 1N821 тики некоторых стабилитронов и диодных
изготовителем указано 6,2 В ± 5% при источников опорного напряж ения, а в
токе 7,5 мА с дифференциальным сопро­ табл. 6.6 — ряд представителей двух попу­
тивлением 15 Ом; таким образом, изме­ лярн ы х серий стаби л и трон ов общ его
нение тока на 1 мА изменяет напряжение назначения на 500 мВт.
в три раза сильнее, чем изменение темпе­ Стабилитрониые ИМС. Для достижения
ратуры от -5 5 до +100°С (для прибора свойственных стабилизатору 723 превос­
1N829). На рис. 6.21 показано, как до­ ходных характеристик (стабильность Um
вольно просто можно обеспечить посто­ 30 10 6/°С ) используется ком пенсиро­
янный ток смещения прецизионного ста­ ванный стабилитрон. Стабилизатор 723 —
вполне приличный источник опорного
напряжения, и совместно с необходимы­
ми навесными элементами эта ИМ С мо­
жет использоваться для получения ста­
бильного источника с любым желательным
напряжением.
Стабилизатор 723, применяемый в ка­
честве опорного источника напряжения,
служит примером «трехвыводного» опор­
ного источника, т. е. источника, для ра­
боты которого нужен внешний источник
питания; в схему источника входят цепь
смещения стабилитрона и буферный уси­
литель выходного напряжения. К трехвы­
водным стабилитронным ИМС относится
352 Глава 6

Таблица 6.5. Стабилитроны и источники опорного напряжения

Тип Напряже­ Номи- Допуск, Темпера­ Нестабиль­ Мощность Примечания


ние ста­ нальный ± % турный ко­ ность At/ (макс),
билиза­ ток / ст, эффициент (макс.) Вт
ции2* мА (макс), при отклоне­
Ч-Г’ ю-б/вс ниях, /ст на
в ± 10%, мВ

Источники опорного напряжения


1N821A- 6,2 7,5 5 + 100 7,5 0,4 5 типов в серии, отличаю­
1N829A 6,2 7,5 5 +5 7,5 0,4 щиеся по температурным
коэффициентам, указаны
лучший и худший
1N4890— 6,35 7.5 5 ±20 0,4 Долговременная стабиль­
1N4895 6,35 7,5 5 ±5 0,4 ность не хуже 10~4/ 1000 ч
Долговременная стабиль­
ность не хуже 10“5/Ю00 ч

Стабилитроны
1N5221А 2,4 20 10 -850 60 0,5 60 типов в серии, от 2,4 до
IN5231A 5,1 20 10 ±300 34 0,5 200 В (5%-ный ряд номи­
1N528IA 200 0,65 10 + 1100 160 0,5 нальных значений плюс
еше несколько). - В =
= ±5%; популярный3’
1N4728A 3,3 76 10 -750 76 1,0 37 типов в серии, от 3,3 до
1N4735A 6,2 41 10 +500 8 1,0 100 В (5%-ный ряд номи­
1N4764A 100 2,5 10 + 1100 88 1,0 нальных значений).
—В = ±5%; популярный
и См. также табл. 6.7 (ИМС источников опорного напряжения). 2) При номинальном токе стабилизации.
31 См. табл. 6.6 (Стабилитроны на 500 мВт).

превосходная LM369 фирмы N ational Таблица 6.6. Стабилитроны на 500 мВт


(1,5- 10_6/°С тип.) и REF10KM фирмы
Burr-Brown (температурный коэффициент Серия Серия Напряжение Ток
1N5221 1N746стабилизации измерения
не более 10_6/°С); в своих схемах мы час­ В мА
то используем недорогую ИМ С Motorola
MCI404 (которая фактически является 1N5230 1N750 4,7 20
1/ъэ — стабилитроном, см. ниже). Вскоре 1N5231 1N751 5,1 20
мы более подробно рассмотрим трехвы­ 1N5232 1N752 5,6 20
1N5233 — 6,0 20
водные источники опорного напряжения, 1N5235 1N754 6,8 20
а сейчас обратимся к двухвыводным. 1N5236 1N755 7,5 20
Прецизионные температурно-компенси­ 1N5237 1N756 8,2 20
рованные стабилитронные ИМ С выпус­ 1N5240 1N758 10 20
каются в виде двухвыводных устройств: 1N5242 1N759 12 20
1N5245 1N965 15 8,5
с точки зрения внешних электрических со­ 1N5248 1N967 18 7,0
единений они выглядят просто как ста­ 1N5250 IN968 20 6,2
билитроны , хотя в д ей стви тельн ости 1N5253 - 25 5,0
содержат еще ряд активных элементов 1N5256 1N972 30 4,2
1N5259 1N975 39 3,2
для улучшения характеристик (наиболее 1N977 47 2,7
1N5261
существенная —постоянство стабилизиро­ 1N5267 1N982 75 1,7
ванного напряжения при заданном токе). 1N5271 1N985 100 1,3
Пример - недорогая схема LM329 с на­ 1N5276 1N989 150 0,85
пряжением ~ 6,9 В. В лучшем варианте 1N5281 1N992 200 0,65
Стабилизаторы напряжения и источники питания 353

6.15. Источник опорного напряжения на


1/ъэ - стабилитроне

Недавно стала получать распространение


схема, известная под названием «стаби­
литрон с напряжением запрещенной зо­
ны». Более точ н о бы ло бы н азван и е
«1/ъэ -стабилитрон». Это легко понять,
вспомнив формулу Эберса—М олла для
диода. В основе схемы лежит идея гене­
Рис. 6.22. Зависимость напряжения шумов мало-
рации напряжения с температурным коэф­
шумяшего стабилитрона, подобного тому, который фициентом, положительным и равным по
используется в стабилизаторе 723, от рабочего тока абсолю тной величине отрицательному
стабилитрона. температурному коэффициенту напряже­
ния и ъэ. При сложении этого напряжения
ее темп, коэффициент равен 6 • 10~6/°С с 1/ьэ получается напряжение с нулевым
(тип.), 10-5/°С (макс.) при постоянном температурным коэффициентом.
токе 1 мА. Перечислим некоторые стаби- Начнем с рассмотрения токового зер­
литронные ИМС с необычными характе­ кала с двумя транзисторами, работаю­
ристиками: температурно-стабилизиро­ щими с разной плотностью эмитгерного
ванная LM399 (0,3 10“ 6/°С тип.), микро- тока (рис. 6.23), с обычным отношением
мощная LM385 (которая работает от тока, плотностей тока порядка 10 : 1. Применяя
доходящего до 10 мкА) и выпускаемая формулу Эберса—Молла, легко показать,
фирмой Linear Technology ИМ С LTZ1000 что / ых имеет полож ительны й тем п е­
с ее потрясающими параметрами: типо­ ратурный коэффициент, так как разность
вой температурный коэффициент 0,05 х напряжений LL3 для двух транзисторов
х 10" 6/°С, дрейф 0,3- 10_6/м есяц и низ­ есть просто (kf/q)\n<3, где а - отношение
кочастотный шум 1,2 мкВ. плотностей тока (см. график на рис. 2.53).
К несчастью, стабилитронные ИМ С, Здесь может возникнуть вопрос: где взять
как и их дискретные аналоги, сильно шу­ постоянный задающий ток / . Несколь­
мят. Шум становится сильнее для стаби­ ко позже мы покажем остроумный способ
лизаторов, использующих лавинный про­ его получения. Сейчас вам надо только
бой, т. е. с напряжением стабилитрона преобразовать этот ток в напряжение с
больше 6 В. На рис. 6.22 показан график помощ ью резистора и сложить с н о р ­
шума стабилитронного источника 723. мальным напряжением £/БЭ. Такая схе­
Этот шум связан с поверхностными эф ­ ма показана на рис. 6.24. Резистор Л2
фектами, и применение стабилитронной устанавливает величину напряжения, ко ­
структуры с так называемым захоронен­ торое складывается с и ьэ и имеет поло­
ным (скрытым) или подповерхностным жительный температурный коэффициент.
слоем может сильно улучшить стабиль­ П одбирая долж ны м образом величину
ность стабилитрона и существенно умень­ R2, получаем нулевой результирующий
шить его шум. Так, только что упоми­ температурный коэффициент. Оказывает­
навшийся источник опорного напряжения ся, что температурный коэффициент бу­
LTZ1000 на стабилитроне с захоронен­ дет нулевым, если суммарное напряже­
ным слоем —самый совершенный из всех ние равно напряжению запрещенной зоны
типов источников опорного напряжения. кремния (при температуре абсолютного
LM369 и REF10KM также имеют очень нуля), т.е. примерно 1,22 В. Часть схе­
малый шум. мы, обведенная пунктиром, является стаби­
В табл. 6.7 перечислены характеристики литроном. Ее выход используется (через
почти всех выпускаемых стабилитронных резистор R}) для создания постоянного
ИМС как на основе собственно стабилитро­ тока / , который мы с самого начала
нов, так и на ~ стабилитронах. считали существующим.
< «
2 - mп

0,05"

1,2'"
0,2"
4m
о о о — — — 1Л >Л V ) X £ —
о о o'

I I I I I I I I I I I I I I I

-
1II I I I ГЯ О О о

-
1 1 1 Q fN <N (N

* 8. 6

561
I I I I I >
1Sъ ъ

-
-

-
1 * 3 -.

0,52>
102>
2021
521
— — - - M М П •

0,1'71
0,1»»
< со со 40

0,1'"
— гм 2 -------^

0,5
S O (N « О О о о о ’

1Л I ! I I I 0 \ О оч | | I I I J I

-
■O n T f
X ^ s .

2 " о----1л "о® O^ o o V i 22 -о o^ °0. m'0 -^


. г, 2 о :

10
3

30
40
n

- fN 1Л «Л (N М rf П N fS

2
2
4

2
© о o ''

‘ r»|
m (N
►mrsl ’кI о *>f\i
м
1,22
1,23
1,22
7,0

С I СО
сЯ CJ | fN vO 40 vO (N M ^ (N ^
X *
источников опорного напряжения

ч « > > > I I I I >> I I > > I I I > >


°с 2
-

о.
2
2
2
-

Ю S. > I I > I I I I
V

> > > I I I >


-

51
V
V

> i > * | > > | > > > > > | | | > > > | > > > >
V

A
U * X
X
I
+ + + § ^
Таблица 6.7. ИМС

СЛ (Л n VO СЛ<(/ЗСЛСЛСЛСЛСЛСЛСЛ(/5!/1м, Qh h h h h
HS


LT

TS
IL

Z u. ю12 Z Q Z Z Z Z Z Z Z Z Z Z h h- < -J -J -J -J -J
u

I < rj u '7 m m e m < <* § 6 О <ш


ICL8069A
TSC9491

5
HS5010N

Л 0\^л0\\0^1л1л1л0м>0>. _
m
X r4<
— S„ с' ,~
л fNrnf<>00
г л п п п00
г 00|
1 п^мO т' (^мS2^27 '0л0мS S° 5с-3S 0S 0
C
< 4Л & S s;S 5 5 S S S S S S S 3 3 Q h H H h P
-»-

=3 С
1 мА. 21 М аксимальный ток стабилитрона. 31 Встроенный в чип нагреватель/терм остат. 4‘ Рабочий ток 10 м кА —20 мА. S) 1 Гц-10 кГц. Ь) 10 Гц—10 кГц (эф ф .).
^ i/-> ^ „ ГО r->r*">fO (N(N<N(NfN £ С 5 5 2S _ VOvnVrt 6 2 Tj-

7) 10 Гц-1 кГц (эф ф .). *> При 50 мкА-5 мА. 9> 2700, 2710: +10 В; 2701: -10 В; 2702, 2712: ± 10 В. |0> 0-5 мА. "> При 50-500 мкА. 121 При 0,5-20 мА. 131 Мин. или макс.
8 8-------
°.®. n я оо g_ я........................."
8 8 8 8 8 J 08- 08- 0 S- 0о- в о- I I 5 5 S о 1 °- °-
e °-
o °-
e °S . 3g =0 - оя. S
о
, о <= о О О <= о == о о о о о
- — 1Г1 ,
'С ^ VO \ о ; 4 0 — », м - о 2 (N —
О О О О - О О , гч in гч т
О О О О ГЧ о О
1 1 ®8 8о 8® 8о <= 8 8 § 3 S8
© © О © © © © ® . © © © © © ©
’ © © © © О
® о 0 <= = ® ® = 0 ° °

I £ © I

£ 2 2 0 0 0 2 0 2 2 £ £ 2 0 0 °2 I2 2 0 0 0 ^) 0 2 2 ! f i § ® 0090

ГЧ гч
1 Л >Л 1 Л «Л 1 Л - _ © гл
I и , I I <
гч гч гч
^* © © ©" — ^ сГ ©* ©" ©
©" -г- Гг,
10 ^10 +1 ,|г о - 3 - -■ -• -• 2 2 0 © — •

Т + +

- т гч ,Л ©
l/“>
*■ I I
rл,f in: оо м - —; —
1 оо _ гч
2 - ч - ' " ^ 2 2 | 1 +i 2 2 +i - 4 V 2 +i +i ^-

|4) 1—20 мА (макс). ,5) При 0 ,5 —10 мА. |6) При 20 м кА —20 мА. ,7) При 0 ,1 —20 мА. |8) При 1—5 мА.
и П©
i.© У ™П
Л У"Ч ™_©т IГчЧ,___<^>
1^” © — <Л1Л\С'0_'Ли,
” <—ч
™ ™ ©©©©“ ©© 1—©— 1Л1Л *“ ч|»-ч
л© л© л©™Ж —,г^А > — —-
«М.А
S q j n ,
© © © © © © © -г © © © ©
© © w © © © © © w © © © ©© © . о о °

О ш о о Я >П Я . Я . un О ° - */"1 Я -1
U о Я О ° - 0 " СТ\ о ^ О ё ^ О ° - о ' О О — О ° -
2 1л гч lo -| 2 2 ^ 2 £ 2^*2 2 +“| ■
2 ОО + |
^ °
« 2 + | + | ~
—' ^ 2

> > > > > > > > ! > > > > > ! 1 > > > > > > > > > 1 ! > > > > ! > > >

oooooooooooooooornoooo^ t' Q- - п п оо ОООО — — ОО — O O O O f ^ O O O O O O ^ ^ i ^ 00 0 0 00

I I I I > I > I > > I I |> | I I > > > > > > I >> I I | > > | I

>>>> I > I > I|> > > |> > > I I I I |> | |> > > | !> > > >

+ ++
S S S S S S a S « 0 2 2 2 2 D O QQDOa Q QS C M h h H 2 2 2 Q Q « ,« »
e .s .6 .fc b b a a .Z B Z Z Z Z < < < < < < < < < S < U J jS S S < < z d H H
ь От 0 до

“1 3 d N
■5 S гч
< < Ш о Й
5 Ши ТТ ^ s i ^ — ел -5 ! !

О Огчрп1г^оо©лт©
О О О — O ^ t s“ O —X ° 0 e$ v ' O — S S S S g S S U g g g lt lo - l^ g r g r
- • ■ • • * —
U. Ll. UmU_LL ЦL,, u- T©
I ©r^i©
U- U- ['
igigieoooo5 i n n n ^ \ 0 ^ 2 2 2 " " ^ f 4 ( N ^ ® 9 n n
u u u S lijid iu s id S S S S Q Q Q а о а < о 5 2 н Р Р У У У а о 2 и й м
S
356 Глава 6

На рис. 6.25 показана другая весьма


популярная схема стабилитрона «запре­
щенной зоны» (заменена обведенная часть
схемы рис. 6.24). Тх и Т2 — согласованная
пара транзисторов, вынужденная благо­
даря обратной связи по разности напря­
жений коллекторов работать при отноше­
нии токов коллекторов 10:1. Разность на­
пряжений 11ъэ, равная {kT/q)\n\Q, дела­
ет ток эмиттера Т2 пропорциональным
температуре (разность напряжений при­
лож ена к резистору /?,). Но поскольку
коллекторны й ток Tt всегда в 10 раз
больше этой величины, он также пропор­
ционален Т. Поэтому суммарный эмит­
терный ток пропорционален Т и создает
на резисторе R2 падение н апряж ения,
имеющее положительный температурный
коэффициент. Это падение напряжения
может быть использовано в качестве вы­
ходного сигнала температурного датчика
(мы об этом дальше упомянем). В данной
схеме напряжение, снимаемое с резисто­
ра R2, складывается с напряжением £/БЭ
транзистора Тх для получения стабильно­
го опорного напряжения с нулевым тем­
пературны м коэф ф и ци ен том на базах
транзисторов Тх и Т2. «Опорные источ­
ники запрещенной зоны» существуют в
Рис. 6.24. Классическая схема источника опорного
напряжения с напряжением запрещенной зоны по­ самых разных вариантах, но для них всех
лупроводника. характерно сложение напряжения U ra с
напряжением, созданным парой транзис­
торов, работающих с некоторым задан­
ным отношением плотностей токов.
ИМС опорных источников с напряжени­
ем запрещенной зоны. Примером стаби­
литрона с напряж ением зап рещ ен ной
зоны является недорогая двухвыводная
схема LM385-1,2, имеющая номинальное
рабочее напряжение 1,235 В ± 1% (ее со­
брат LM385-2,5 имеет встроенную схему
для генерации 2,5 В), работоспособную
при токах вплоть до столь малых зн а­
чений, как 10 мкА. Это много меньше,
чем можно было бы требовать от любо­
го стабилитрона, и это делает данные
ИМ С прекрасным образом подходящи­
ми для м и кром ощ н ы х п риб оров (см.
гл. 14). Столь низкое опорное напряжение
(1,235 В) часто намного более удобная
вещь, чем номинальное рабочее напря­
Рис. 6.25. ж ение стабилитронов 5 В (вы можете
Стабилизаторы напряжения и источники питания 357

встретить стабилитроны с номинальным 2,75 В («стабилитрон» сделан по схеме


напряжением 3,3 В, однако у них совер­ и ьэУ, этот прибор по управляющему вхо­
шенно ужасные характеристики с очень ду потребляет ток всего лишь в несколько
плавным изгибом). Лучшие образцы из микроампер и имеет температурный ко­
ряда LM385 гарантируют температурный эффициент выходного напряжения около
коэффициент не хуже 30 • 10 6/°С и типич­ 10_5/°С. При указанных на схеме значе­
ное значение динамического сопротив­ ниях параметров на выходе получается
ления 1 Ом при токе 100 мкА. Сравним стабилизированное напряжение 10 В. Эти
эти величины с теми же параметрами ста­ приборы выпускаются в двухрядных кор­
билитрона 1N4370 на 2,4 В: температур­ пусах м и н и -D IP и могут работать при
ный коэффициент 800 • 10_6/°С (тип.), ди­ токах до 100 мА.
намическое сопротивление около 3000 Ом Температурные датчики, использующие
при токе 100 мкА, и одновременно при Ubэ. Предсказуемостью изменения 1/ъэ с
этом же токе «напряжение стабилизации» температурой можно воспользоваться при
(определяемое в спецификации как 2,4 В создании ИМ С для измерения температу­
при токе 20 мА) составляет около 1,1 В! ры. Н априм ер, R EF-02 помимо своей
Когда вам нужно прецизионно стабиль­ основной функции генерирует выходное
ное напряжение, эти превосходные ИМ С напряжение, линейно изменяю щ ееся с
на ию -стабилитроне кладут обычные ста­ температурой (см. выше). С помощью
билитроны на лопатки. простых внешних схем можно получить
Если вы готовы выложить чуть больше выходное напряжение, сигнализирующее
денег, то сможете найти опорные источ­ о температуре ИМ С с точностью 1% во
ники на 1/ъэ-стабилитронах с превосход­ всем «армейском» диапазоне (от —55 до
ной стабильностью, например такие, как + 125°С). Схема AD590, используемая как
двухвыводной LT1029 или трехвыводной чисто температурный датчик, дает точ­
REF-43 (2,5 В, 3- 10'У С макс). Послед­ ны й ток 1 м кА /К . Это двухвы водное
ний тип, так же как и трехвыводные ис­ устройство; к нему надо приложить н а­
точники опорного напряжения на стаби­ пряжение (4 -3 0 В) и можно измерять
литронах, нуждается в источнике питания ток. LM334 также можно применять та­
постоянного тока. В табл. 6.7 перечис­ ким способом. Другие датчики, такие
лены большинство из имеющихся источ­ как LM35 и LM335, генерируют на вы­
ников опорного напряжения (на стабилит­ ходе точное н ап ряж ени е с крутизной
ронах и U э -стабилитронах, двух- и трех­ + 10 мВ/°С. В разд. 15.01 все эти «пре­
выводные). образователи» температуры рассмотрены
Одним из интересных источников опор­ детально.
ного напряжения является ИМС TL431C. Трехвыводные прецизионные источники
Это недорогой источник опорного н а­ опорного напряжения. Ранее мы уже от­
пряжения на «программируемом стабилит­ мечали, что возможно создание источни­
роне»; его схема включения показана на ков опорного напряжения с отличной тем­
рис. 6.26. «Стабилитрон» вклю чается, пературной стабильностью (до 10_6/°С и
когда управляющее напряжение достигает даже лучше). Это особенно впечатляет,
когда вы видите, что имеющий почтен­
ный возраст элемент Вестона —традици­
онный, прошедший через века источник
опорного напряжения — имеет темпера­
U y n p = 2,75В ± 2%
турный коэффициент порядка 4- 10“ 5/°С
3 мкА (см. разд. 15.11). Вот два способа получе­
ния таких источников.
1. Температурно-стабилизированные ис­
точники опорного напряжения. Хороший
подход к получению превосходной темпе­
Рис. 6.26. ратурной стабильности источников опор­
358 Глава 6

ного напряжения или других схем заклю­ этими схемами, следует отдавать себе
чается в обеспечении работы источников отчет в том, что последую щ ие схемы
опорного напряжения и, возможно, свя­ на операционны х усилителях, и даже
занных с ними схем при постоянной повы­ проволочные прецизионные резисторы с
шенной температуре. В гл. 15 будут пока­ их т е м п е р а т у р н ы м к о э ф ф и ц и е н т о м
заны простые приемы осуществления этой ± 2 ,5 - 10~6/°С , могут сильно испортить
идеи (один очевидный способ состоит в характеристики, если при проектировании
организации управления нагревателем с не принять крайних мер предосторожнос­
помощью температурного датчика ( 1!ъъ). ти. В частности, приходится учитывать
Таким образом можно добиться сильного даже дрейф прецизионных ОУ с очень
уменьшения зависимости характеристик низким уровнем дрейфа, таких как ОР-07
схемы от колебаний внешней температу­ с типовым значением дрейфа входного
ры. Д ля п рец изи он ной схемотехники каскада 0,2 мкВ/°С. Эти аспекты проекти­
представляет интерес метод помещения рования прецизионных схем рассматри­
хорошо температурно-компенсированного ваются в гл. 7 в разд. 7.01-7.06.
опорного источника в условия постоян­ При использовании LM399 существует
ной температуры, что значительно улуч­ одна опасность: чип может вы йти из
шает его характеристики. строя, если напряжение питания нагре­
Подобная техника температурно-стаби­ вателя хотя бы на короткий момент вре­
лизированны х или «терм остатирован­ мени упадет ниже 7,5 В.
ных» схем применяется уже много лет, И сточн ик оп орного напряж ения
в частности для создания сверхстабиль- с запрещ енной зоной LT1019 хотя и рабо­
ных генераторов. Существуют не слиш ­ тает в нормальных условиях без подо­
ком дорогие источники питания и опор­ грева, однако имеет встроенные в крис­
ные источники напряжения, в которых талл нагреватель и датчик. Поэтому его
используются термостатированные опор­ можно включать, так же как и LM399,
ные схемы. Этот метод дает хорошие получая температурный коэффициент ме­
результаты, но имеет свои недостатки: нее 2 - 1 0 _ 6/°С . О днако в отличие от
громоздкость и сравнительно большую LM399 для LT1019 требуется некоторая
потребляемую нагревателем мощ ность, внеш няя схемная обвязка, чтобы полу­
а также медленный разогрев и выход на чить термостат (ОУ и с полдюжины эле­
режим (обычно 10 или более минут). Эти ментов).
проблемы легко снять, если стабилизиро­ 2. Прецизионные ист очники опорного
вать температуру на уровне кристалла напряжения без подогрева. Термостатиро­
ИМС (чипа) включением нагревательной ванная LM399 имеет превосходный тем­
схемы вместе с датчиком в состав самой пературный коэффициент, однако она не
интегральной схемы. Этот подход был демонстрирует чего-либо экстраординар­
впервые опробован в 60-х годах фирмой ного в отношении таких параметров, как
Fairchild, выпустивш ей температурно- ш ум или долговрем енны й дрейф (см.
стабилизированную дифференциальную табл. 6.7). Кроме того, нагрев этого кри­
пару цА726 и предусилитель постоянного сталла занимает несколько секунд и он по­
тока цА727. требляет большую мощность (4 Вт при
Позже появились «термостатирован­ включении, 250 мВт после стабилизации).
ные» источники опорных напряжений, та­ Х итроумные разработчики сделали
кие, как серия National LM199. ИМ С этой возможным создание источников опорно­
серии имеют температурный коэффици­ го напряж ения с эквивалентной ста­
ент (типовое значение) 0,00002%/°С, или бильностью , но без подогрева. И М С
2 -1 0 -7/° С Такие опорные источники ус­ R EF10K M и REF101KM фирмы Burr-
тановлены в стандартных транзисторных Brown имеют температурный коэффици­
корпусах ТО-46. Их нагреватели потреб­ ент 10_6/°С (макс), они не потребляют
ляют мощность 0,25 Вт и разогреваются м ощ ность для подогрева и у них нет
до нужной температуры за 3 с. Пользуясь задержки выхода на режим за счет нагре-
Стабилизаторы напряжения и источники питания 359

+6 - “ И И И |7 П И
+4
■ ■ ■ ■ ■ ■ ■ ■ ■
+2
■ ■ ■ ■ ■ ■ ■ ■ ■ ■
« я т и р р щ м
10 000 LM385 при 10 В
(с напряжением
запрещ. зоны)

■■■
■■■
■и
В 1000
LM399 при 10 В
(стабилитрон
с подогревом)

100 LT1031/LH0070 (стабилитрон


с захороненны м слоем)

гоо“ в1ИИИГгГИ| 10 10г 10’ 10*


■■■■■■■■■ Частота, Гц
■ ■ ■ ■ ■ ■ ■ ■ ■ ■

о ■ ■ ■ ■ ■ ■ ■ ■ ■ ■
г2»
3
LM385 при 10 В
(с напряжением
запрещ. зоны)

100

x-e- Л -адгц
го о н кв 1 Д H L J I
+6
+4
+2
В■ПИШИ s-e-
5m
LT1031/LH0070
(стабилитрон
с захороненны м
слоем)

10г 103
Верхняя частота, Гц
В
Рис. 6.27. Сравнение напряжения шумов стабилитронов с захороненным слоем (о), стабилитронов с подогретом
(б) и источников опорного напряжения на (/ъэ—стабилитроне (в). (С разрешения Burr-Brown Corporation).
Сравнение плотности шумов еш (г) и интегрального напряжения шума (д) стабилитронов указанных типов.

ва. Кроме того, долговременный дрейф соперник - это великолепный LTZ1000


и шум у них меньше, чем у источников фирмы Linear Technology, у которого за­
типа LM399. Среди других трехвыводных явленный температурный коэффициент
источников опорного напряжения с тем­ составляет 0,05 • 10~6/°С. В спецификации
пературным коэф ф ициентом не более на это устройство указаны также на поря­
10_6/°С _ МАХ671 ф ирм ы M axim и док лучш ие характеристики по долго­
AD2710 или AD2712, выпускаемые фир­ временной стабильности и шуму, чем у
мой Analog Devices. В двухвы водной любых других источников опорного напря­
конфигурации есть лишь один достойный жения любого типа. Для ИМ С LTZ1000
360 Глава 6

требуется хорошая внешняя схема смеще­ транзисторы (табл. 6.8). Серия 7900 ста­
ния, которую можно построить на ОУ билизаторов отрицательных напряжений
и еще нескольких элементах. Во всех пере­ работает точно так же, но, конечно, с
численных высокостабильных источниках отрицательным входным напряжением.
опорного напряжения (включая LM399 Серия 7800 обеспечивает ток нагрузки до
с подогревом) используются стабилитро­ 1 А и снабжена внутренней защитой от
ны с захороненным слоем, что дополни­ повреждений в случае перегрева или чрез­
тельно обеспечивает намного меньший мерного тока нагрузки (ИМ С не сгорает,
шум, чем обычные стабилитроны или а выключается). Кроме того, предусмот­
£/g3 — стабилитроны (рис. 6.27). рена защита прибора при выходе из об­
ласти безопасной работы (разд. 6.07) за
ТРЕХВЫВОДНЫЕ И ЧЕТЫРЕХВЫВОДНЫЕ счет уменьшения предельно возможного
СТАБИЛИЗАТОРЫ вых. тока при увеличении разности вход­
ного и выходного напряжений. Такие ста­
6.16. Трехвыводные стабилизаторы билизаторы дешевы и просты в употреб­
лении; это делает реальным проектирова­
Для большинства не слишком ответствен­ ние схем с большим количеством печат­
ных применений лучше выбрать простой ных плат, к которым подводится неста-
трехвыводной стабилизатор напряжения. билизированное постоянное напряжение,
Он имеет всего три внешних вывода (вход, а отдельный стабилизатор устанавливается
выход и земля) и настраивается изготови­ на каждой плате.
телем на нужное фиксированное напряже­ Трехвыводные стабилизаторы с фикси­
ние. Типичные представители стабилиза­ рованным напряжением выпускаются в
торов такого рода — серия 7800. Их на­ нескольких очень удобных вариантах.
пряжение указывается в последних двух LP2950 работает точно так, как и 7805, но
цифрах (вместо нулей) и может иметь одно потребляет в установившемся режиме все­
из следующих значений: 05, 06, 08, 10, 12, го лиш ь 75 мкА (сравните с 5 мА у 7805
15, 18, 24. На рис. 6.28 показано, как лег­ или 3 мА у 78L05); кроме того, он не те­
ко сделать стабилизатор, например на 5 В ряет способности стабилизации даже тог­
с применением одной из этих схем. Кон­ да, когда перепад напряжений (нестаби­
денсатор, поставленный параллельно вы­ лизированного на входе и стабилизиро­
ходу, улучшает переходные процессы и ванного на выходе) составляет всего лишь
удерживает полное выходное сопротивле­ 0,4 В (сравните с 2 В перепада напряже­
ние на низком уровне при высоких часто­ ний, необходимыми для классической
тах (если стабилизатор расположен на зна­ И М С 7805). У LM2931 также низкий пе­
чительном расстоянии от конденсатора репад напряжений, но его можно было
фильтра, следует применить дополн и ­ бы назвать миллимощ ны м (ток покоя
тельный входной конденсатор емкостью 0,4 мА) в сравнении «микромощ ным»
по крайней мере 0,33 мкФ ). Серия 7800 LP2950. Стабилизаторы с низким пере­
выпускается в пластмассовых и метал­ падом напряжения выпускаются также и
ли ч ески х ко р п у сах, в так и х же к ак н а б ольш и е т о к и , н а п р и м е р , сер и и
и мощные транзисторы. М аломощ ный LT1085/4/3 фирмы LTC (3 А, 5 А и 7,5 А
вариант, серия 78L00 также выпускается соответствен н о, у каж дого ти п а есть
в пластмассовых и металлических корпу­ ИМ С на +5 и +12 В). Такие стабилиза­
сах, в которых выпускаются маломощные торы, как LM2984, в основе своей трех­
выводные с фиксированным напряжени­
Нестаби- + 5,0 В ем, но с дополнительными выводами для
лизир. _ (стабилизир.)
вход 7805 ■ ^ “ 0-1А сигнализации микропроцессору о том, что
от +7 до 35 В ОД мкФ питание пропало и вновь появилось. И на­
JF 1 конец, такие И М С , как 4195, состоят из
двух трехвыводных стабилизаторов на
Рис. 6.28. 15 В, один на положительное, другой — на
Стабилизаторы напряжения и источники питания 361

отрицательное напряжение. Вскоре мы шими, чтобы ток через него можно было
поговорим об этих специальных стабили­ изменить на 50 мкА, корректируя его с
заторах подробнее. и зм ен ен и ем тем пературы . П оскольку
петля коррекции данного стабилизато­
6.17. Трехвыводные регулируемые ра - это выходной конденсатор, то здесь
стабилизаторы долж ны и сп ол ьзоваться больш ие е м ­
кости, чем в других схемах. Требуется по
Иногда нам нужно нестандартное ста­ меньшей мере танталовый конденсатор
билизированное напряжение (скажем, +9 В, 1 м к ф , однако рекомендуется несколько
чтобы заменить таким образом батарею) большая емкость — что-нибудь вроде
и мы не можем по этой причине приме­ 6,8 мкФ.
нить фиксированный стабилизатор серии Схема 317 выпускается в разных корпу­
7800. Или, возможно, вам требуется стан­ сах: пластмассовых на большую мощность
дартное напряжение, но устанавливаемое (Т0-220), металлических большой мощ­
более точно, чем ±3%, типично предус­ ности (ТО -3) и в корпусах для м ало­
матриваемые в стабилизаторах с ф икси­ мощ ных транзисторов (металлический
рованным напряжением. Но теперь вы ТО-5 и пластмассовый ТО-92). Схема в
уже «подогреты» простотой трехвывод­ корпусе на большую мощ ность, осн а­
ных стабилизаторов и уже не представ­ щ енная соответствующ им радиатором,
ляете себе, как можно иметь дело со схе­ может отдавать ток до 1,5 А. Поскольку
мами стабилизатора на ИМ С 723 со всеми эта схема не имеет непосредственного за­
внешними элементами, которые для нее земления, ее можно использовать в стаби­
требуются. Что делать? Взять «трехвы­ лизаторах высокого напряжения, пока раз­
водной регулируемы й стабилизатор»! ность входного и выходного напряжения
В табл. 6.9 перечислены характеристи­ не превосходит максимум 40 В (у высо­
ки представительной выборки трехвы­ ковольтного варианта LM317HV-60 В).
водных регулируемых стабилизаторов. Упражнение 6.5. Спроектируйте стабилизатор на
Типичным представителем этих замеча­ + 5 В на основе схемы 317. Обеспечьте регулировку
тельных ИМ С является классический напряжения в пределах + 2 0 % с помощью подстроен­
LM317 фирмы National. У этого стаби­ ного потенциометра.
лизатора нет вывода на землю; вместо Существуют трехвыводные регулируе­
этого у него поддерживается С/вых такое, мые стабилизаторы, рассчитанные на бо­
что между выходом и этим «регулировоч­ лее сильные токи, например LM350 (3 А),
ным» выводом всегда было напряжение LM338 (5 А) и LM396 (10 А), а также на
1.25 В. На рис. 6.29 показан простейший более высокие н апряж ения, например
способ применения этого стабилизатора. LM317H (60 В) и TL783 (125 В). Внима­
С табили затор п о двод и т н ап р яж е н и е тельно изучите специф икации, прежде
1.25 В к резистору R t, поэтому через него чем применять эти устройства, обращая
течет ток 5 мА. Регулировочный вывод внимание на требования к шунтирующе­
потребляет очень небольш ой ток (5 0 - му конденсатору и предложения относи­
100 мкА), поэтому выходное напряжение тельно диода защиты. К ак и в случае с
равно 1/вш = 1,25 (1 + R2/ R t) В. Но в та­ трехвыводными стабилизаторами с ф и к­
ком случае выходное напряжение можно сированным напряжением, имеются вари­
регулировать от 1,25 до 25 В. Для тех при­ анты схем с малым перепадом напряже­
м енений, которы е требуют ф и к с и р о ­ ний (например, у LTI085 перепад напря­
ванного выходного напряжения, R2 обыч­ жений между входом и выходом составля­
но подстраивается в очень узком диапазо­ ет 1,3 В при токе 3,5 А) и можно найти
не для увеличения точности подстройки микромощ ные варианты ИМ С (напри­
(применяется последовательное соедине­ мер, LP2951 - регулируемый вариант
ние фиксированного резистора и подстро- 5-вольтового стабилизатора LP2950 с ф ик­
ечного). Выбирайте сопротивления ре­ сированны м напряж ением ; оба имеют
зистивного делителя достаточно неболь­ /„.„с
П ОКОЯ
= 75 мкА).
'
М ож но такж е найти
Таблица 6.8. Стабилизаторы с фиксированным выходным напряжением
Тип Корпус Точ­ Выходной ток/мощность Стабилизация
В ность, (макс.)" (тип.), мВ
70
при без радиатора21 по на- по
75 °С _ грузке R X n/lV

на кор­ />ых, Р ,
пусе А ЙТ
L , а

На положительную полярность
LM2950CZ-5.0 ТО-92 5 1 0,08 ОД 0,5 2 1,5
LM2931Z-5.0 ТО-92 5 5 0,1 0,1 0,5 14 3

LM78L05ACZ ТО-92 5 4 0,1 0,1 0 ,6 5 50

LM330T-5.07' ТО-220 5 4 0,15 0,15 1,5 14 20


TL750L05 ТО-92 5 4 0,15 0,15 0 ,6 20 6

LM2984CT ТО-22081 5 3 0,5 0,5 2 12 4

LM2925T ТО-220 5 5 0,75 0,5 2 10 8

LM2935T ТО-220 5 5 0,75 0,5 2 10 8

LM309K ТО-3 5 4 1 0,6 2,2 20 4

LT1005CT ТО-220 5 2 1 0,5 2 5 5


LM2940T-5.0 ТО-220 5 3 1 0,5 2 35 20
LM7805CK ТО-3 5 4 1 0,6 2,2 10 3
LM7805CT ТО-220 5 4 1 0,45 1,7 10 3
LM7815CT ТО-220 15 4 1 0,15 1,7 12 4
LT1086-5CT ТО-220 5 1 1,5 0,5 2 5 0,5
LAS16A05 ТО-3 5 2 2 0,75 2,8 30'°> 100 "”
LM323K ТО-3 5 4 3 0 ,6 2 25 5
LT1035CK ТО-3 5 2 3 0,8 3 10 5

LT1085-5CT Т0-220 5 1 3 0,5 2 5 0,5


LAS14A05 ТО-3 5 2 3 0,8 3 30'”' 50"»
LT1003CK ТО-3 5 2 5 0,8 3 25 5
LT1084-5CK ТО-3 5 1 5 0,8 3 5 0,5
LAS19A05 ТО-3 5 2 5 0,8 3 301») 50101
LT1083-5CK ТО-3 5 1 7,5 0,8 3 5 0,5
LAS3905 ТО-3 5 5 8 0,8 3 2 0 101 100 "»

На отрицательную полярность
LM79L15ACZ ТО-92 -15 4 0,1 0,05 0 ,6 75 ю) 45 ю)
LM7915CK ТО-3 -15 4 1 0,2 2,2 4 3
LM7915CT ТО-220 -15 4 1 0,15 1,7 4 3
LM345K-5.0 ТО-3 -5 4 3 0,2 2,1 10 5

» U „ = 1,751 £ / ы . 21 Температура окр. среды 50”С. 31 От 0 до 1 . 41 Для AU * 15 В 51 Д{7 Для температуры


переходов от 0 до 100 "С. 6) На 1000 ч. ” Подобен LM2930T-5.0, LM2931T-5.0. 8» Широкий ТО-220.
1)1 При I . |0) Мин. или макс. 111 Типичное значение. Все имеют внутренние схемы тепловой зашиты и ограни­
чения тока. Большинство устройств рассчитано на значения ± 5, 6 , 8 10, 12, 18 и 24 В. Некоторые выпускаются
со значениями —2 , -3 , -4 , -5,2, -9 , +2,6, ± 9 и ± 17 В.
®пк> Входное Подавле­ Темпера­ Долго­ Выходное полное Примечания
■с/Вт напряжение, ние пуль­ турная времен­ сопротивление,
В В саций стабиль­ ная ста­ Ом
120 Гц ность, бильность,
мин.” макс. (тип.), (тип.)51 (макс.)6* 10 Гц 10 кГц
дБ % %

160 5.4 30 70 10 - 0,01 0,5 Микромощный, 1%


160 5,3 26 80 0,4"» 0,1 0,2 Малый перепад, малая мощ­
ность
160 7 35 50 — 0,25 0,2 0,2 Малый корпус;
LM240LAZ-5.0
4 5,3 26 56 25 0,4"» 0,1 0,2 Малый перепад; 2930
160 5,6 26 65 50 — — TL751 имеет вход «разреше­
ние»
3 5,5 26 70 3 0,4"» 0,01 0,02 Сдвоенный выход (цР); вос­
становление, вкл./выкл.
3 5,6 26 66 — 0,4"» 0,2 0,2 Микропроцессор; с восста­
новлением
3 5,5 26 66 — 0,4"» 0,02 0,02 Сдвоенный выход (цР); вос­
становление, вкл./выкл.
3 7 35 80 50 0,4 0,04 0,05 Оригинальный стабилизатор
на +5 В
3 7 20 70 25 - 0,003 0,01 Сдвоенный выход (цР)
3 5,5 26 72 20 0,4й» 0,03 0,03
3,5 7 35 80 30 0,4 0,01 0,03 LM340K-5
3 7 35 80 30 0,4 0,01 0,03 Популярный; ML340T-5
3 17 35 70 100 0,4 0,02 0,05 LM340T-15
3 6,3 30 63 25 1 - - Малый перепад
2,5 7,6 30 75 - - 0,002 0,02 Lambda; монолитный
2 7 20 70 30 0,7 0,01 0,02
1,5 7,3 20 70 25 - 0,003 0,01 Сдвоенный +5 В; у 1036
+ 12/ + 5В
3 6,3 30 63 25 1 - - Малый перепад
2,3 7,5 35 70 100ю» — 0,001 0,003 Lambda; монолитный
1 7,3 20 66 25 0,7 0,003 0,02
1.6 6,3 30 63 25 1 - - Малый перепад
0,9 7,6 30 70 150'°* - 0,01 0,2 Lambda; монолитный
1,6 6,3 30 63 25 1 - — Малый перепад
0,7 7,6 25 60"» 100 - 0,004 0,01 Lambda; монолитный

160 -17 -35 40 - 0,4"» 0,05 0,05 Малый корпус; LM320LZ-15


3,5 -16,5 -35 60 60 0,4 0,06 0,07 LM320KC-15
3 -16,5 -35 60 60 0,4 0,06 0,07 LM320T-15
2 -7,5 -20 65 25 1,0 0,02 0,04
"> «
55 Р >S 3 « 3 gj
2 sУ 3 X
1 хг х
i п * 5 3
X £ н Itс >
•■
о. а - 2 р, х 8.:
2 2< * I I §S UX MО - §
й Э 2о R О Э х 2г
=1 X га3 £
О
3 г*
I а О<j Сс 8о К о X S с ■ | < хо < *
а. 2 I &: i О 1П
,О S 3 г2 А
со С 03 s <5 . ■5 S h S 2 ( 2
BMOl qirsiHhHHBdio
ииннэо<51эа >> >>> >>>>>>> > >> >>> > >
В 1И Т П В Е В В 9 0 1 Ш Э 1 >> >>> >>>>>>> > >> >>> > >
ГО ГЧ -л. ГоО ГоО ГЧ ГЧ ГЧ гч
о о ^о_О | О | I I I I в
о" о ° o' o' o' о" о' о
— ГЧ_о
Ш, — — гч гч
=>, 1 о„ © о о о о | § | I I о
о" о" o'

го го (Ч го го го го
о о' o' о'o' o'о' ’

in in чо in го ч© s0 i n in i n sO in so i n in ГО
o' o' о*o' о' o ' о ' о ' о ' о”о ' о'o' o' o' o'
£О, 5
я I
I .5
се Ю

С * 5 »п ш i n m m in imoc
а с“■5
5 I гч гч гч гч гч ' гч' ~ гч' о '

°Т 2

I I I I ! I I I

-*ю
напряжения

Ф"и | <V

m in ГЧ ГЧ О ГЧ ГЧ ГЧ ГЧ g —■g
о” о' о" о ' о ' о ' о ' о ' о ' -г о ' —г

—— — <Л,
о ' о' о 'о' о' о ' о'
Таблица 6.9. Регулируемые стабилизаторы

in m г-~
|< © o'о

I Р;I ^I Г
оО cГО
-j [
(
* со
rN гч - ' го гч гч

гч
OS гч
os OSO sГ Ч ГЧ го ГЧ
ГЧ ГЧ ГО Г
ГЧ ГО ГЧ ГЧ
Ч ГГЧ
Ч ГЧ ro tJ- -4J-
ГО ГО (4 ГЧ ГЧ (4 ro ГО
о o 0 0 0 6 6 0 0 6 0 6 0 6 0 0 0 0 0 О
H h* h- H h- h h h h h h h H h“ H f— H~h- h- i-
4XD0HdKI/0|J + 1 + I + ++ I 1+ ++ 1 ++ +++ +

> > ft- ^ cu a. 3 и


oa —
IГ-. l Г--n w V и *
-Q Г- Г" — r, ^ —- f4
— ^ ro
го f4- !S *n
О^ & 00 ’ t ГО ^
a
ГО го
ГО - о ГО go ГО Г ОГО ГО2S° го оtt ®£ ««O N
о О ro I о
^ sL h p 2
-J -j H J2 J2JSJ sJ pJ s= £
►чО
•= * «
3
ЧО
г-~
п S С3 й
3 о.£i X >s U з; 1) — I
J3
X о
й я 3
4 сз о
3 X3
О
о. 5 a S я
У 3 и 3 л
с о с о 2
O
N О"2 <цл 5з §s а,I <и I
SO
S к

Э(U
3S в S я S I

1 * -S * з § з a §■
F Я S S2S
ft у л

Ia ss 5 5 5 г s
g i2 J3 £ S о о s is is ьS ’О£ ю
s

>>>>>>> I> > >1 1 1 II >>>


>>>>>>> I> > > I I> > I I> >
ГЧ CN — 3 ; —
о
о" о
о о § о
о " о " —Г о
II I I I
II 3S.8. ЯI I

I I I Iо '—
о о Ii

_ . I 'S1 1Л <N
© © _Г _Г сГ о

— t J- •— I \£J г л v C \D
n m гч гч гч m
ГЧ ГЧ <N ГЧ ГЧ г ч гч"

fs. Ю>Лю \о un 40 УГ^« о 1Л t sD^ ON


I чо I so so " so " s o ОО Г^Г тг оо" оС ON r -Г

г*-) г ч
—4 \С>

£ g */">«/П
— г ч г ч г ч —> — гч
Оо о о о" о"

— - - ж SS ж г аэ ГО
s o s o so^ 40 40 so^ оо о г ч гч
*4 s
о" о" о " о" о " о" о _ о" о ” о" о" о"

Г*~> ГО 1Г1 , П Г) 1Л«л 40


гч П 1
Л оо О О о
о
©^
•о "
о
° о" о" о" о
о о" о"

1 so
30

о Л о ^ о
0

го , ГО г о г о — — TJ-
п Т т

^
so^
гч" 'З-
го
ГО
</"> m «Л h>
*о о
т
4

1 4f — ' гч гч

о
гч
гч го го m го т го «п г ч
а. « Cu cu а. а, < V °9 9О
р о б б о б о о о = = ьо О О п
H hhhH hh 5g 5 3 Н Ь- S QQQh Н а£ н g s s
I + I + + + + ++ + + +
U н
- э z х У о о
эq эю эо о эч о о эо
as —----
^
----- гн
on S
с*->Sm ^ ®§
^ ГЧ г п
гС с о с л оо с о оо со -j и ^ сл со
1 5 5 5 5 5 5 UJ и S55
*
3 s =
X
а
с Э
р — и. ^
fc 5 о г
Г
е
и S
« 1 1
£ е? “я яX о& с* 3 л
B M 0 1 Ч Ь 'Э Х И Ь И Н Е & Ю

glSHHdOdjPg >> I
В 1И Ш В £ В В Я 01Ш Э Х >> I

I I I
Й>
3
со I
I I I
ь г

й I е bчС oчО g
| я§

21 II*

3 и ON ГП
—Г г ч

фи

гч гч о
о" о" о -

ГЧ ГЧ о
и
р o ’о*-о' s>
<

* CQ
2
со

> , >> " 7


g §ь о
SSQ н

4iD0HdHir0£j +++
г 5

с Я
'S
£§•
Стабилизаторы напряжения и источники питания 367

данные, приведенные ниже, типичны для


большинства трех- и четырехвыводных
стабилизаторов, как регулируемых, так и
нерегулируемых. Они могут быть полез­
ны при грубой оценке ожидаемых техни­
ческих характеристик.
Допуск выходного напря­
жения
Падение напряжения 0,5-2 В
Максимальное входное 35 В (за исключением
напряжение TL 783, для которого
Рис. 6.29. Трехвыводной регулируемый стабилиза­ + 125 В)
тор. Подавление пульсаций 0 ,01- 0 , 1%
U.A78G
_________________ + 15 В Подавление всплесков 0,1-0,3%
Неста- Выход ------ ----------- • - (стаби- Стабилизация по нагрузке 0,1-0,5% во всем
бвход
илизир.__ |о П /?,э Т ЛИЗИр.) диапазоне нагрузки
> 18 В Подавление нестабиль­
ности входного напря­
жения постоянного тока 0 ,2%
Температурная неста­ 0,5% по всему диапа­
бильность зону температур

Увеличение коэффициента подавления


пульсаций. На рис. 6.29 показана схема
и варианты схем на отрицательное напря­ включения стандартного трехвыводного
жение, хотя их разнообразие меньше: стабилизатора; работает она превосходно.
LM337 - аналог (на отрицательное напря­ Тем не менее добавление шунтирующего
жение) схемы LM317 (1,5 A), a LM333 — конденсатора 10 м к ф между выводом для
схемы LM350 (3 А). регулировки и землей (рис. 6.31) увели­
Четырехвыводные стабилизаторы. Если чивает подавление пульсаций (всплесков)
условия применения не слишком жесткие, почти на 15 дБ (в 5 раз по напряжению).
то лучше всего использовать трехвывод- Н апри м ер, к о э ф ф и ц и ен т п одавлен и я
ные регулируемые стабилизаторы. Исто­ пульсаций LM317 достигает 65—80 дБ
рически они предшествовали четырехвы­ (последнее значение соответствует 0,1 В
водным, подключение которых показано пульсаций на выходе при подаче на вход
на рис. 6.30. На «управляющий» вывод напряж ения с пульсацией 1 В). П оза­
подается часть выходного напряжения; ботьтесь о включении разрядного диода для
стабилизатор регулирует выходное напря­ безопасности; более детальную схему вы
жение, поддерживая на управляющем вы­ сможете составить, заглянув в техничес­
воде фиксированное напряжение ( + 3,8 В кие данные конкретного стабилизатора.
для стабилизаторов Lambda, указанных в Стабилизаторы с малым падением напря­
табл. 6.9, +5 В для nA79G и - 2,2 В для жения. К ак мы уже ранее упоминали,
стабилизаторов отрицательного н ап ря­
жения). Четырехвыводные стабилизаторы
ничем не лучше более простых трехвы­
водных (но и не хуже), и мы упоминаем
здесь о них лишь для полноты картины.

6.18. Дополнительные замечания


относительно трехвыводных
стабилизаторов
Рис. 6.31. Вывод «рег.» для снижения помех и пуль­
Общие характеристики трех- и четырех­ саций можно зашунтировать, подключив для обес­
выводных стабилизаторов. Технические печения безопасности разрядный диод.
368 Глава б

для работы большинства стабилизаторов я —р —я-схеме и довести его почти до на­


требуется по крайней мере 2-вольтовая пряж ения насы щ ения транзистора. На
«добавка». Это объясняется тем, что база рис. 6.32 6 показана упрощенная схема
проходного п —р —я-транзистора находит­ LM330 нерегулируемого стабилизатора
ся под напряжением, которое выше на­ + 5 В (150 мА) с малым «перепадом»
пряжения на выходе на 1/ъэ, и должна за­ н ап ряж ени я. С пом ощ ью проходного
пускаться от транзистора-формирователя, -я -^-тр ан зи сто р а выход схемы можно
как правило, другого я-/?-я-транзистора, установить в пределах напряжения насы­
база которого подклю чена к токовому щения нестабилизированного входного
зеркалу. Это уже два падения 1/БЭ. Далее, напряжения. Исключив падение Иьъ на
следует допустить еще одно падение 11ьэ паре Дарлингтона в « “ ^-«-стабилизато­
на резисторе-датчике тока для защиты схе­ ре, разработчики не собираются тратить
мы от короткого замыкания; взгляните на падение на диоде в обычной (последова­
упрощенную схему 78Lxx на рис. 6,32 а. тельный резистор) схеме защиты от ко­
Три падения 11ъэ добавляются к 2 В, ниже роткого замыкания. Они пользуются хит­
этого напряжения стабилизатор переста­ роумным приемом: выводят часть вы­
ет работать на полном токе. ходного тока через второй коллектор.
С помощью проходного р —п —р-тран- Этот ток составляет фиксированную долю
зистора (или я-канального МОП-транзис­ выходного тока и используется, как пока­
тора) «перепад» напряжения можно сни­ зано на рисунке, для отключения управ­
зить, избавившись от трех 11ъэ в обычной ления базой. Такая схема ограничения тока
Стабилизаторы напряжения и источники питания 369

не отличается точностью ( / составляет ход отсроченного флажка ПЕРЕЗАПУСК


150 мА мин. и 700 мА макс), но она для инициализации вашего микропро­
достаточно эффективна для зашиты ста­ цессора после восстановления питания и
билизаторов, которые имеют, помимо то­ вход управления включением/выключени­
го, внутреннюю тепловую защиту. ем для сильноточны х выходов. Кроме
Выпускается большое число распрост­ того, у нее есть вход, который следит за
раненных типов стабилизаторов с малым работой микропроцессора, восстанавли­
«перепадом» напряжения, например трех­ вая работу процессора, если тот был вы­
выводны е нерегулируем ы е (L M 2931, нужден остановиться. Примером сторо­
LM330, LT1083/4/5 (5 и 12 В), TL750), жевой И С без стабилизатора может слу­
трехвыводные регулируемые (LT1083/4/5, жить МАХ691 фирмы Maxim, которая
LM2931) и м икром ощ ны е (L P 2950/1, следит за стабилизированным напряжени­
МАХ664, LT1020). В табл. 6.8 и 6.9 вклю­ ем питания и работой микропроцессора и
чены все стабилизаторы с малым «пере­ посылает сигналы сброса (и «прерыва­
падом», выпускаемые промышленностью ние») в микропроцессор точно так же,
на момент подготовки этого издания. как LM2984. Однако в дополнение к фун­
Стабилизаторы, ориентированные на кциям LM2984, она содержит схему пре­
процессоры. Для работы электронны х дупреждения об отказе источника пита­
устройств, содержащих микропроцессоры ния и схему переключения на батарейное
(гл. 10, 11), необходимо нечто большее, питание. В сочетании с обычным стаби­
чем простое стабилизированное напря­ лизатором + 5 В ИС МАХ691 делает все,
жение. Для того чтобы сохранить содер­ что необходимо для обеспечения ж изне­
жимое энергозависимой памяти (и дан­ способности микропроцессора. В гл. 10
ные, необходимые для восстановления и 11 мы поближе познакомимся с обслу­
работы), следует предусмотреть отдельный живанием и питанием микропроцессоров.
слаботочный источник постоянного на­ Микромощные стабилизаторы. Как мы
пряжения; он может понадобиться при уже упоминали, большинство ИС стаби­
отключении устройства или в связи с вы­ лизаторов потребляют несколько милли­
ходом из строя источника питания. Кроме ампер тока покоя для питания источни­
того, эти устройства должны «знать», ког­ ков эталонного напряжения и усилителей
да обычный источник питания работо­ ошибки. Если устройство работает от сети
способен, с тем чтобы «проснуться» в из­ переменного тока, то это не страшно; для
вестном состоянии. Более того, микро­ батарейных устройств, работающ их от
процессорным устройствам может пона­ 9-вольтовых щелочных аккумуляторов ем­
добиться несколько миллисекунд перед костью 400 мА-ч, это нежелательно и
окончательным выходом из строя обыч­ совсем недопустимо для микромощных
ного источника, для того чтобы успеть приборов, которые должны работать ты ­
передать данные в «безопасную» память. сячи часов, допустим, от одной батареи.
До недавнего времени вы должны были Выход из положения — это микромощ­
сами проектировать для этих целей допол­ ные стабилизаторы. Самыми «скупыми»
нительные схемы. Теперь жизнь стала из них являются ICL7663/4, положитель­
легче - вы можете приобрести ИС стаби­ ный и отрицательный регулируемые ста­
лизаторов, ориентированные на (микро)- билизаторы с токами покоя 4 мкА. При
процессоры, с различными сочетаниями таком токе 9-вольтовая батарея может су­
встроенных функций. Иногда эти ИС про­ ществовать 100 000 часов (более 10 лет),
ходят под наименованием «ИС-наблюда- что превышает срок сохранности (время
тели для источников питания» или «сто­ саморазряда) любой батареи, за исклю­
рожевые» ИС. Существует, наприм ер, чением некоторых литиевых батарей. Бо­
LM2984, которая имеет два сильноточных лее полно о микромощных схемах мы рас­
выхода +5 В (один — для микропроцес­ скажем в гл. 14.
сора, другой —для остальных схем), сла­ Двухполярные стабилизированные источ­
боточный выход +5 В (для памяти) и вы­ ники питания. Большинство описанных
370 Глава 6

Рис. 6.33. Двухполярные стабилизированные источники питания.

в гл. 4 схем операционных усилителей ра­ рованные напряжения ± 15 В, вы можете


ботали от симметричных биполярных ис­ использовать И С 7815 и 7915 (рис. 6.33 а).
точников питания, обычно +15 В. Это Мы предпочитаем использовать регулиру­
общее требование в аналоговой схемотех­ емые трехвыводные стабилизаторы пото­
нике, где приходится работать с сигна­ му что а) на каждую полярность и диапа­
лами, как правило, вблизи уровня земли, зон токов необходимо иметь только один
и самым простым способом формирова­ тип и б) в случае необходимости можно
ния симметричного расщепленного пита­ точно подстроить напряжение; на рис.
ния является использование пары трехвы­ 6.33,5 приведена схема на ИС 317 и 337.
водных стабилизаторов. Д ля того что­ Сдвоенные стабилизаторы. Возможно,
бы сформировать, например, стабилизи­ у вас возникнет вопрос, почему, коль ско-
Стабилизаторы напряжения и источники питания 371

и известны как «сдвоенные следящие ста­


билизаторы». Д ля того чтобы понять,
почему они носят такое сложное назва­
ние, взгляните на рис. 6.34, на котором
показана классическая схема сдвоенного
стабилизатора. Тх — проходной транзистор
для традиционного положительного стаби­
лизированного источника. Положительный
стабилизированный выход используется
в качестве опорного напряжения для от­
рицательного источника. Нижний усили­
тель ош ибки управляет отрицательным
выходом, сравнивая среднее значение
двух выходных напряжений с уровнем
земли и создавая, таким образом, равные
положительный и отрицательный стаби­
лизированные выходы 15 В. Схема поло­
жительного источника может быть любой
из тех, которые мы уже рассматривали;
если это регулируемый стабилизатор, то
отрицательный выход отслеживает лю ­
Рис. 6.34. Двухканальный стабилизатор. бые изменения положительного стабили­
зированного выхода. На практике в схе­
ро стабилизированные расщепленные ис­ му включают токоограничивающие цепи,
точники так необходимы, отсутствуют не показанные для простоты на рисунке.
«сдвоенные трехвыводные стабилизато­ К ак и однополярные стабилизаторы,
ры». Больше не удивляйтесь — они есть сдвоенные выпускаются в виде полностью

От + 18 до + 30 В
(нестабили 10 мкФ
зир.) — ,
4195
„ +и ^ + 15 В при 100 мА

От - 1 8 до - 3 0 В — -II -и ► - 15 В при 100 мА


(нестабили- Земля
зир.) 10 мкФ

б
Рис. 6.35.
транзисторами. ю 0 ПС. Ч) Перепад 10 В (для каждого источника). 101 От 10 Гц до 100 кГц. 1И М акс.
50 °С. 3) От 10 до 50% /м к . 41 Для А ( / ах = 15 В. 51 д £ /ых для 7] от 0 до 100 вС . Ь) От 100 Ги до 10
| l t
Э W
Л5 ^ £ С 03
£ о 5 о F 5 о о
§. а Ю *

*CQ О Г" гч гч ■
ф"ц-

о о гч гч гч гч гч гч гч гч
я «о o' о
8
S
2
Ю2
Н 'w' ЧО ЧО ЧО ЧО — гч гч го
U о о"

1П ГЧ 1Л » л 1Л < л •n оо гч 4040
o' — о*" о" o ' o ' o ' — -г — ©r o '

§ 3
ЙI
s —'
2 Гя

»° °& i. О
“2

* « ^I о* аэ
2
5 s ’+ я
= = =Э S
температуры
проходными

S г

II ++++
" £/вх = 1 ,6£ /ыч (для каждого источника). 21 Для внешней
для использования с двумя внешними

М О. W
О. О S
+ + + + + + I I I I I +
Сдвоенные стабилизаторы

++ I I I. I + +
е-
5ю s

I I I ++ I I t +

*Пin «п in
+i +i +i +1 & D.+I +1 +l а
а.
ЧО
5I чо
ЧО */•> in ЧО S ЧО
а- • i | а. а.
— о-Л оi O—
- iоO —
-
ь Предназначен

—О s О
QH f-Q h Q Q (— s н -ce 5 5
6 .1 0 .

<u
J Й ,С ^ CQк/ Л ч> С
о
■у
<-
- 2 оо оо — I Z I Z Q h Z h
rj- »n in о — гч
Таблица

Р ^5 ^5 CK CK O s O s cО o* n o» n
5Г ^ Й ГЧ ГЧ ГЧ ГЧ
is “ " .5 n n П W •С ^
° U U S S S S S S. u u u^ u О го го
кГц.

2 2 2 Z _i j _ j _j ОСс* oc ol S оо
СЛ СЛ СЛ
Стабилизаторы напряжения и источники питания 373

интегрированных схем нерегулируемых и компонента схемы, который приводит к


регулируемых версий, хотя и в существенно закорачиванию двух источников; доволь­
меньшей номенклатуре. В табл. 6.10 пе­ но распространенный случай — это зако­
речислено большинство из доступных в рачивание «коллектор — эмиттер» в од­
настоящее время типов. Типичными яв­ ном из транзисторов двухтактной пары,
ляются стабилизаторы 4194 и 4195 фирмы работающей от двух источников. При этом
Raytheon, включение которых показано на два источника оказываются объединенны­
рис. 6.35. 4195 - это стабилизатор с за­ ми друг с другом и один из стабилизато­
водской подстройкой с выходами +15 В, ров выходит победителем. Вследствие это­
в то время как симметричные выходы 4194 го напряжение другого источника меняет
регулируются с помощью единственного полярность и схема начинает «дымиться».
резистора Лг Оба стабилизатора выпус­ Для предупреждения последствий таких
каются в мощных корпусах и в небольших отказов между каждым стабилизирован­
корпусах DIP; оба содержат схемы отклю­ ным выходом и землей следует включить
чения по температуре и ограничения по мощный диод в обратном направлении
току. Для того чтобы получить большие (например 1N4004), как это сделано в схе­
выходные токи, можно добавить внешние ме на рис. 6.33.
проходные транзисторы (см. ниже). Внешние проходные транзисторы. Трех­
Многие из предшествующих стабилиза­ выводные стабилизаторы с фиксирован­
торов можно соединить как сдвоенные ным напряжением выпускаются на выход­
стабилизаторы (наприм ер четы рехвы ­ ные токи 5 А и более, например подстра­
водные регулируемые стабилизаторы ). иваемый 10-амперный LM396. Вместе с
В спецификации изготовителя часто ре­ тем работа с такими большими токами
комендуется схема включения. Идею ис­ может оказаться нежелательной, посколь­
пользования выхода одного источника в ку максимальная рабочая температура для
качестве опорного для другого источниа этих кристаллов меньше, чем для мощ­
можно применить даже в том случае, ког­ ных транзисторов, что приводит к необ­
да напряжения не равны и не противопо­ ходимости использовать мощные радиа­
ложны по знаку. Например, коль скоро у торы. Кроме того, они достаточно доро­
вас есть источник стабилизированного ги. Альтернативное решение заключается
напряжения +15 В, вы можете его исполь­ в и спользовании внеш них проходных
зовать для того, чтобы сформировать ста­ транзисторов, которые можно добавить к
билизированный выход + 5 В, или даже трех- и четырехвыводным стабилизаторам
стабилизированный выход -1 2 В. (и двухканальным стабилизаторам) точ­
но так же как в классическом стабили­
Упражнение 6.6. Используя ИС 4194, спроектируйте заторе 723. Базовая схема показана на
стабилизатор на + 12 В.
рис. 6.36.
Защита от включения обратной поляр­ При токах менее 100 мА схема работает
ностью. Использование сдвоенных и с­ обычным образом. При больших токах
точников требует дополнительной меры нагрузки падение на R. открывает тран-
предосторожности: при нарушении поляр­
ности почти все электронные схемы под­
вергаются сильным повреждениям. С од­
ним источником это может произойти
только при неправильном подключении
проводников; иногда для защиты от та­
кой ошибки схему запараллеливают мощ­
ным выпрямителем, включенным в обрат­
ном направлении. В схемах с несколькими
источниками питания (например с рас­
щепленным питанием) возможны обшир­ Рис. 6.36. Трехвыводной стабилизатор с внешним
ные повреждения, если возникает отказ транзистором для увеличения тока.
374 Глава 6

выбран таким образом, чтобы транзистор


открывался при токе нагрузки 100 мА.
В первой схеме транзистор Т] реагирует
на ток нагрузки за счет падения напряже­
ния на R} и ограничивает запуск транзис­
Вход Выход
тора Т2, если это падение превыш ает
\б Т 5 ?
Нестабилизир.
Земля

_1_
17
Стабили-
падение на диоде. Схема имеет два не­
вход
- зир. вход
достатка: входное напряжение должно те­
перь превышать стабилизированное вы­
ходное на падение напряжения на трех­
выводном стабилизаторе плюс падение на
двух диодах для токов нагрузки вблизи
максимального тока. Кроме того, тран­
зистор Т{ должен выдерживать большие
токи (до максимального тока стабилиза­
тора), так как из-за малого сопротивления
резистора в базе Тх трудно реализовать
ограничивающую схему с обратным на­
клоном характеристики.
Во второй схеме эти недостатки устра­
нены за счет некоторого услож нения.
В си л ьн о то ч н ы х стаб и л и зато р ах для
Рис. 6.37. Токоограничивающая схема для усили­ уменьшения мощности рассеяния до при­
теля на внешнем транзисторе. емлемого уровня важно добиться малого
перепада напряжений. Чтобы получить в
зистор Tt и реальный ток через трех­ последней схеме характеристику с обрат­
выводной стабилизатор ограничивается ным наклоном, можно просто подключить
величиной 100 мА. Трехвыводной стаби­ базу Т{ к делителю между коллектором и
лизатор поддерживает требуемое значе­ землей, а не к коллектору Т2.
ние напряжения на выходе, снижая, как К регулируемым трех- и четырехвывод­
и ранее, входной ток и, следовательно, ным стабилизаторам внешние проходные
управляя транзистором Tt при увеличении транзисторы подключаются точно так же.
выходного н ап р яж е н и я, и н аоб орот. Детали можно понять, заглянув в специ­
Он даже не знает, что нагрузка потреб­ фикации изготовителей.
ляет больше 100 мА! В этой схеме вход­ Источник тока. Из трехвыводного ре­
ное напряжение должно превышать вы­ гулируемого стабилизатора можно легко
ходное на величину перепада 78хх (2 В) сделать мощный источник постоянного
плюс 11ъэ. тока. На рис. 6.38 показан такой источник
На практике эту схему следует несколь­ на 1 А. Добавление повторителя на опе­
ко модифицировать для того, чтобы обес­ рационном усилителе, как это сделано на
печить ограничение по току для Г,, кото­ второй схеме, может понадобиться в том
рый в противном случае может отдавать случае, если схема используется для фор­
ток в /г2|Э раз превышающий максималь­ мирования малых токов, поскольку вход
ный внутренний ток стабилизатора, т. е. «регулировка» вносит в выходной ток
20 А и более! Этого вполне достаточно ошибку порядка 50 мкА. Как и для ранее
для разрушения транзистора Тх, как и той описанных стабилизаторов, здесь имеется
несчастной нагрузки, которая в это вре­ внутреннее ограничение по току, защита
мя была подключена. Два способа огра­ от тепловой перегрузки и защита от вы­
ничения тока показаны на рис. 6.37. хода за пределы области б езоп асн ой
Транзистор Т2 в обеих схемах является работы.
сильноточным проходным транзистором, Упражнение 6.7. Спроектируйте регулируемый ис­
а резистор между его эмиттером и базой точник тока на диапазон токов от 10 мкА до 1 мА,
Стабилизаторы напряжения и источники питания 375

Рис. 6.38. Источники тока на операционном уси­


лителе.

используя схему 317. Каков будет диапазон напря­ что, хотя он и не самый стабильный ис­
жений на выходе, если i / x= +15 В? Перепад напря­ т о ч н и к то ка в м и ре, вы мож ете и с ­
жения примите равным 2 В.
пользовать его в качестве температурного
Заметьте, что источник тока на рис. датчика (разд. 15.01)!
6.38 а яв л я е т ся д вухвы вод н ы м э л е ­
ментом. Следовательно, нагрузку можно
подключить с любой стороны. На рисун­ 6.19. Импульсные стабилизаторы
ке показано, как можно осуществить от­ и преобразователи постоянного тока
вод тока от нагрузки, подключенной к
земле (разумеется, вы всегда можете ис­ Все схемы стабилизаторов напряжения,
пользовать схему 337 с отрицательной по­ которые до сих пор рассматривались, ра­
лярностью, включив ее так же, как пока­ ботают одинаково: последовательно не-
зано на рис. 6.38 а). стабилизированному напряжению посто­
Фирма National выпускает специальный янного тока включается линейный управ­
трехвыводной прибор LM334, предназ­ ляющий элемент (проходной транзистор)
наченный для использования в качестве с обратной связью, которая поддерживает
маломощного источника тока. Он посту­ на постоянном уровне выходное напря­
пает в небольшом пластмассовом транзи­ жение (или, может быть, постоянный ток).
сторном корпусе (ТО-92), а также в стан­ Выходное напряжение всегда ниже неста­
дартном корпусе DIP. Вы можете исполь­ билизированного входного напряжения и
зовать его любым способом до нижней на управляющем элементе рассеивается
границы тока 1 мкА, поскольку ток регу­ некоторая мощность (или, точнее, среднее
лирования является лиш ь малой долей значение / ВЬ1Х(/ВХ- / вых)). Небольшая вари­
общего тока. Этот элемент имеет, однако, ация этой темы — параллельный стаби­
одну особенность: выходной ток зависит лизатор, в котором управляю щ ий эле­
от температуры , даже точно п роп ор­ мент включается не последовательно с на­
ционален абсолютной температуре. Так грузкой, а между выходом и землей; при-
376 Глава 6

о— О— О—
Нестабилиз. Стабилиз. выход Стабилиз. выход
В Х О Д П О С Т . TO I пост, тока пост, тока
(ивых < икмк

Рис. 6.39. Два вида стабилизаторов: а —линейный (последовательный), б-повыш аю щ ий импульсный.

мер - простой резистор плюс стабили­ пряжение противоположной полярности!


трон. Наконец, импульсники можно сделать без
Существует другой способ генерации цепи постоянного тока между входом и
стабилизированного напряжения посто­ выходом; это означает, что они могут ра­
янного тока, принципиально отличный от ботать прямо от шины питания с выпрям­
того, что мы видели до сих пор; взгляни­ ленным напряжением без сетевого транс­
те на рис. 6.39. В таком импульсном ста­ форматора! В результате получается очень
билизаторе транзистор, работаю щ ий в маленький, легкий и эффективный источ­
режиме насыщенного ключа, периодичес­ ник постоянного тока. По этим причи­
ки на короткое время прикладывает к ка­ нам импульсные источники питания ис­
тушке индуктивности полное нестаби­ пользуются почти во всех компьютерах.
лизированное напряжение. Ток катушки Импульсные источники питания имеют
появляется на каждом импульсе, запасая и свои проблемы. Выход по постоянно­
энергию '/ 2LI2 в ее магнитном поле; за­ му току содержит некоторый «шум» пере­
пасенная энергия передается на конден­ ключения, который может попадать в ш и­
сатор вых. сглажив. фильтра (чтобы под­ ну питания. Как правило, у них скверная
держать напряжение и ток в нагрузке на репутация в отношении надежности —при
выходе между импульсами заряда). Как и катастрофическом отказе иногда возни­
в линейных стабилизаторах, выход по об­ кают зрелищные пиротехнические эффек­
ратной связи сравнивается с эталонным ты. Однако большинство этих проблем
напряжением, но в импульсных стабили­ решаются, и в настоящее время импульс­
заторах управление выходом осуществля­ ные источники прочно обосновываются
ется за счет изменения длительности им­ в электронных приборах и компьютерах.
пульсов генератора или частоты переклю­ В этом разделе мы в два этапа рас­
чения, а не за счет линейного управления скажем все об импульсных источниках
базой или затвором. питания. Сначала мы опишем базовый
Импульсные стабилизаторы обладают импульсный стабилизатор, работающий
необычными свойствами, которые дела­ от традиционного нестабилизированного
ют их очень популярными: так как управ­ источника постоянного тока. Существуют
ляющий элемент либо выключен, либо три схемы, используемые для а) пониже­
насыщен, рассеивается очень маленькая ния (выходное напряжение меньше вход­
мощность; таким образом, импульсные ного), б) повышения (выходное напря­
стабилизаторы чрезвычайно эффективны жение больше входного) и инвертирова­
даже при большом падении от входа до ния (полярность выходного напряжения
выхода. «Импульсники» (сленг для «им­ противоположна полярности входного) на­
пульсные источники питания») могут ге­ пряжения. Затем мы сделаем радикаль­
нерировать выходное напряжение, превы­ ный шаг —опишем «еретические» (и наи­
шающее нестабилизированное входное более ш и роко и сп ользуем ы е) схемы ,
напряжение (рис. 6.39 б); они позволяют работающие прямо от шины питания с вы­
также довольно просто генерировать на­ прямленным напряжением без изолирую-
Стабилизаторы напряжения и источники питания 377

Понижающий стабилизатор. На рис.


-+Uвых'(<и в»
6.40 показана основная понижающая им ­
пульсная схема; обратная связь для про­
и г стоты не показана. Если М ОП-ключ зам­
кнут, то к индуктивности прикладывает­
ся н ап р яж е н и е и вых - UBX, которое
Рис. 6.40. Понижаю щ ий импульсный вызывает появление линейно увеличива­
источник питания
ющегося тока (вспомним dl/dt = U/L) в
Напряж.
индуктивности. (Этот ток течет, конеч­
затвора 1_Г~ но, к нагрузке и конденсатору.) Когда
ключ откры вается, ток индуктивности
s \ __ продолжает протекать в том же направле­
Вх. ток ____ Л .
нии (вспомните, что индуктивности не
могут сразу же изменить свой ток, как это
Ток в
катушке следует из последнего уравнения) теперь
уже через ограничивающий диод. Выход­
Напряж. в ной конденсатор работает как энергети­
точке "х" .= H _ _ r W L | ческий «маховик», сглаживая неизбежно
возникающ ие пилообразные пульсации
(чем больше емкость конденсатора, тем
Входн.
напряж. о — меньше пульсации). Ток индуктивности
выделяет на ней напряжение UBm - 0,61U,
Рис. 6.41. при этом ток начинает линейно убывать.
Соответствующие формы тока и напря­
щего трансформатора. Оба вида источ­ жения показаны на рис. 6.41. Для того
ников питания сейчас широко использу­ чтобы завершить схему и придать ей вид
ются, поэтому наш подход достаточно стабилизатора, вы должны, конечно, до­
практичен (правда, не совсем педагоги­ бавить обратную связь, которая будет уп­
чен). В заключение мы дадим массу со­ равлять либо длительностью импульсов
ветов: когда использовать «импульсники», (при постоянной частоте повторения),
когда следует избегать их; когда проекти­ либо частотой повторения (при постоян­
ровать свои собственные и когда покупать ной длительности импульсов) по выходу
их. Короче говоря, мы постараемся раз­ усилителя ошибки, сравнивающего вы­
веять все ваши сомнения. ходное напряжение с эталонным.

Рис. 6.42. Маломощный импульсный стабилизатор на +5 В.


378 Глава 6

На рис. 6.42 показан слаботочный ста­


билизатор + 5 В на базе схемы МАХ638 + U ВЫ Х(' > U вх')
фирмы M axim. Этот п ревосходны й
кристалл предлагает вам на выбор либо
фиксированный выход + 5 В (без внеш­ _П_П_
него делителя), либо регулируемый поло­
жительный выход с внешним резистив­
ным делителем. Почти все компоненты
стабилизатора умещ аю тся в трад и ц и ­
онном корпусе м и н и -D IP . Генератор
в МАХ638 работает на постоянной часто­
те 65 кГц, причем усилитель ошибки либо
подключает, либо отключает импульсы
управления затвором в соответствии с вы­
ходным напряжением. КПД схемы со­
ставляет примерно 85% и почти не зави­
Рис. 6.43. Две схемы переключающего элемента:
сит от входного напряжения. Сравните это
а — повышающая; 6 — инвертирующая.
с линейными стабилизаторами, реш ив
следующие задачи. возрастает, поскольку индуктивность пы­
Упражнение 6.8. Каков максимальный теоретичес­ тается сохранить величину тока. Диод от­
кий КПД линейного (последовательного проходно­ крывается и индуктивность «накачивает»
го) стабилизатора при использовании его для гене­ ток в конденсатор. Выходное напряжение
рации стабилизированного напряжения +5 В по может быть много больше входного.
нестабилизированному входу +12 В?
Упражнение 6.10. Изобразите формы колебаний
Упражнение 6.9. Что можно сказать об отношении для повышающего «импульсника», показав напря­
выходного тока к входному для понижающего им­ жение в точке х, ток в индуктивности и выходное
пульсного стабилизатора с высоким КПД? Каково напряжение.
это отношение токов для линейного стабилизатора?
Упражнение 6.11. Почему повышающую схему
Повышающий стабилизатор; инвертиру­ нельзя использовать как понижающий стабилизатор?
ющий стабилизатор. За исключением вы­
сокого КПД понижающий импульсный Инвертирующая схема показана на рис.
стабилизатор, рассмотренный в предыду­ 6.43 б. Когда ключ замкнут, от точки х
щем параграфе, не имеет существенных к земле протекает линейно возрастающий
преимуществ (только существенные недо­ ток. Для того чтобы сохранить ток при
статки - число компонент, шум переклю­ размыкании ключа, индуктивность «тянет»
чения) перед линейным стабилизатором. точку в отрицательную область настоль­
Однако импульсные источники становятся ко, насколько это необходимо для сохра­
по-настоящему весьма притягательными, нения тока. Однако ток теперь втекает в
когда необходимо, чтобы выходное напря­ индуктивность через сглаживающий кон­
жение было больше входного нестабили- денсатор. Выход, таким образом, будет
зированного или когда полярность выход­ отрицательным, а его среднее значение
ного напряжения должна быть обратной будет больше или меньше величины вход­
полярности входного нестабилизирован- ного напряжения (что определяется об­
ного. На рис. 6.43 показаны основные ратной связью); другими словами, инвер­
схемы повышения (или «подъема») и ин­ тирующий стабилизатор может быть как
вертирования напряжения. повышающим, так и понижающим.
Повышающую схему мы уже показыва­ Упражнение 6.12. Изобразите формы колебаний
ли на рис. 6.39 а для сравнения с линей­ для инвертирующего импульсного источника, по-
ным стабилизатором. Когда ключ замк­ казав напряжение в точке х, ток в индуктивности и
выходное напряжение.
нут (точка х вблизи земли), ток в индук­
ти в н о с т и в о зр а с т а е т ; к о гд а клю ч На рис. 6.44 показано, каким образом
разомкнут, напряжение в точке х быстро можно использовать маломощ ные им ­
Стабилизаторы напряжения и источники питания 379

500 мкГн

Рис. 6.44. Двухполярный импульсный источник питания.

пульсные стабилизаторы для получения токи и выше КПД, но за счет м акси­


напряжений ±15 В от одного автомо­ мально допустимого выходного тока. До
бильного аккумулятора +12 В; с линей­ тех пор, пока входное напряжение не пре­
ными стабилизаторами такой прием не вышает выходное, схема менее чувстви­
пройдет. Здесь мы вновь использовали тельна к входному напряжению; она бу­
маломощную ИС с фиксированным вы­ дет работать и при +2 В, но максималь­
ходом фирмы Maxim, в данном случае по­ ный выходной ток сущ ественно п они ­
вышающий стабилизатор МАХ633 и ин­ зится.
вертирующий стабилизатор МАХ637. По­ Перед тем как оставить тему инверти­
казанные на рисунке внеш ние ком по­ рующих и повышающих стабилизаторов,
ненты были выбраны в соответствии со мы хотели бы заметить, что существует
спецификациями изготовителя. Они не и другой путь для достижения тех же са­
очень критичны, но, как это всегда быва­ мых целей, а именно «переключаемые
ет в электронных схемах, существуют ком­ конденсаторы». Основная идея заключа­
промиссы. Например, чем больше вели­ ется в том, чтобы использовать М ОП-
чина индуктивности, тем меньше пиковые ключи для заряда конденсатора от входа
380 Глава 6

постоянного тока и затем за счет изме­ Следует отметить, что индуктивность и


нения состояния ключей подключения конденсатор в импульсном стабилизато­
заряженного конденсатора последователь­ ре не работают как Z-C-фильтр. Для про­
но к другому конденсатору (повышение стого понижающего стабилизатора еще
напряжения) или обратной полярностью могут быть какие-то сомнения, но, оче­
к выходу (инвертирование). Преобразо­ видно, что схема, которая инвертирует
ватели напряжения с переключаемыми уровень постоянного тока, едва ли явля­
конденсаторами обладают определенны­ ется фильтром! Катушка индуктивности
ми преимуществами (нет индуктивнос­ представляет собой запасающее энергию
тей) и некоторыми недостатками (низ­ устройство без потерь (запасенная энер­
кая мощность, малые пределы регулиро­ гия равна ]/ 2Ы 7), способное преобразовы­
вания, ограниченное напряжение). Мы вать импеданс для того, чтобы сохранить
обсудим их несколько позже в этой же энергию. Это точное определение с ф и­
главе. зической точки зрения, в котором отра­
Общие замечания относительно им­ жен тот факт, что энергия заключена в
пульсных стабилизаторов. Как мы уже ви­ магнитном поле. Мы обычно привыкли
дели, способность импульсных источни­ рассматривать конденсатор как устрой­
ков повышать и инвертировать напряже­ ство, хранящее энергию (запасенная энер­
ние делает их весьма привлекательными гия равна '/ 2C U 2), которое выполняет
для создания, скажем, слаботочных ис­ свою функцию в импульсных источниках
точников питания на +12 В прямо на питания, как и в традиционных последо­
полностью цифровой во всех других от­ вательных стабилизаторах.
ношениях плате с питанием + 5 В. Такие Н ем ного терм ин ологии . Вы и ногда
биполярные источники часто необходимы встречаете словосочетания «импульсный
для питания «последовательных портов» стабилизатор с Ш ИМ» и «стабилизатор
(более подробно см. гл. 10 и 11) или с токовы м реж имом». О ни относятся
линейных схем на операционных усилите­ к конкретному способу изменения им­
лях или схем с ЦАП и АЦП. Еще одно пульсных колебаний в соответствии с сиг­
полезное применение повышающих им­ налом обратной связи (сигналом ош иб­
пульсных источников — это питание дис­ ки). В частности, Ш ИМ означает широт­
плеев, для работы которых необходимо но-импульсную модуляцию, в которой
относительно высокое напряжение, на­ сигнал ошибки используется для управле­
пример, дисплеев, использующих лю ми­ ния длительностью импульса (при фикси­
несцентную или плазменную технологии. рованной частоте), в то время как при
В тех применениях, где входное напря­ управлении в токовом реж име сигнал
жение постоянного тока (обычно +5 В) ош ибки используется для управления
уже стабилизировано, вы часто произно­ пиковым током индуктивности (опреде­
сите «преобразователь постоянного тока», ляется с помощ ью резистора) посред­
а не «импульсный стабилизатор», хотя в ством изменения интервала между импуль­
действительности это одно и то же. На­ сами. Стабилизаторы в токовом режиме
конец, в оборудовании на батарейном обладают существенными достоинствами и
питании вам, как правило, хочется полу­ становятся все более популярными в свя­
чить высокий КПД во всем диапазоне на­ зи с тем, что теперь выпускаются хоро­
пряжений батареи; наприм ер, 9-воль- шие ИС-контроллеры в токовом режиме.
товый «транзисторный» щелочной акку­ При рассмотрении любого импульсно­
мулятор начинает свою жизнь примерно го источника не забывайте о помехах,
с 9,5 В и постепенно угасает к концу сво­ создаваемых процессом переключения.
ей полезной жизни до 6 В. Маломощный Они могут быть трех видов: а) пульсации
понижающий стабилизатор на + 5 В со­ на выходе на частоте п ереклю чен и я,
храняет свою высокую эффективность, обы чно п оряд ка 10-100 мВ (разм ах);
увеличивая ток, на протяжении всего сро­ б) пульсации также на частоте переклю­
ка службы батареи. чения, которые накладываются на напря-
Стабилизаторы напряжения и источники питания 381

жение входного источника; в) помехи из­ стабилизатор подключить прямо к вы­


лучения на частоте переключения и ее прямленному и отфильтрованному напря­
гармониках из-за импульсных токов в ин­ жению переменного тока. Два промежу­
дуктивности и проводниках. Можно ока­ точных замечания: а) входное напряже­
заться в весьма трудной ситуации при ние постоянного тока будет равно при­
использовании импульсных источников мерно 160 В (при сети переменного тока
в схемах с сигналами низкого уровня 115 В), т. е. схема будет довольно опасна
(скажем, 100 мкА и менее). Хотя тщ а­ для того, чтобы в ней копаться! б) отсут­
тельное экранирование и фильтрация мо­ ствие трансформатора означает, что вход
гут решить все эти проблемы, но лучше, постоянного тока не будет изолирован от
по-видимому, в подобных случаях с са­ сети. Следовательно, импульсную схему
мого начала использовать линейные ста­ следует модифицировать для того, чтобы
билизаторы. обеспечить изоляцию.
Импульсные источники с питанием от Обы чный способ изолирования и м ­
сети. Мы уже упоминали, что импульсные пульсной схемы заключается в намотке
источники обладают высоким КПД, даже вторичной обмотки на энергозапасаю ­
если выходное напряжение сильно отли­ щую индуктивность и использовании изо­
чается от входного. Это может помочь лирующего элемента (либо трансформа­
нам рассматривать индуктивность как тора, либо оптоизолятора) для обеспече­
«преобразователь импеданса», поскольку ния обратной связи на импульсный гене­
средний постоянный выходной ток может ратор; взгляните на упрощенную схему,
быть больше (при понижении) или мень­ показанную на рис. 6.45. Заметьте, что
ше (при повышении), чем средний посто­ генератор питается от высоковольтного
янный входной ток. Это полностью про­ нестабилизированного постоянного н а­
тивоположно тому, что происходит в л и ­ пряж ения, в то время как схемы у п ­
нейных последовательных стабилизаторах, равления обратной связью (усилитель
где средние значения входного и выход­ ошибки, эталонный источник) питаются
ного токов всегда равны (если не учиты­ от стабилизированного выходного напря­
вать, конечно, тока покоя схемы стаби­ жения. Иногда для питания управляющих
лизатора). элементов используется вспомогательный
В связи с этим появляется превосходная слаботочный нестабилизированный источ­
идея: можно исключить тяжелый пони­ ник (со своим собственным низковольт­
жающий сетевой трансформатор, если ным трансформатором на 60 Гц). Квад­

Рис. 6.45. Импульсный источник с питанием от сети переменного тока.


382 Глава 6

Рис. 6.46. Импульсный источник питания, используемый в персональном компьютере Tandy модель 2000.
Обратная связь с выхода + 5 В осуществляется через оптрон UJ Um (с разрешения фирмы Tandy Corporation).
ВП - верхний порог: НП — нижний порог.

ратик, обозначенный «изоляция», часто ре, два других трансформатора! Это не


представляет собой небольшой импульс­ так. Размеры трансформатора определя­
ный трансформатор, хотя может исполь­ ются размерами сердечника, которые рез­
зоваться и оптическая изоляция (более ко снижаются на высоких частотах. В ре­
подробно об этом позже). зультате импульсные источники с пита­
Может показаться, что преимущества нием от сети намного меньше и легче, чем
безтрансформаторного нестабилизирован­ аналогичные линейные источники; кро­
ного питания сводятся на нет в связи с ме того, благодаря своему высокому КПД
необходимостью иметь, по крайней ме­ они работают в более благоприятном теп­
Стабилизаторы напряжения и источники питания 383

ловом режиме. Ф ирма Pow er-O ne вы ­ Более того, «импульсник» при работе не
пускает, например, оба типа источников нагревается, а 19-фунтовый линейны й,
питания. Сравнивая ее модель F5-25 (5 В, рассеивая 75 Вт при полной нагрузке, ста­
25 А) линейного источника со сравнимой новится горячим.
по цене моделью SPL130-1005 (5 В, 26 А) Пример реального импульсного источни­
импульсного источника, мы обнаружива­ ка. Д ля того чтобы вы почувствовали
ем, что им пульсны й и сточ н и к весит реальную сложность импульсных источни­
2,5 фунта, линейный - 19 фунтов; импульс­ ков с питанием от сети, на рис. 6.46 мы
ный занимает всего 1/4 объема линейного. воспроизводим полную схему коммерчес­
384 Глава 6

кого импульсного источника, используе­ этих целей обычно используют источник


мого фирмой Tandy (Radio Shack) в ка­ питания логики +5 В; так поступили
честве источника питания для своего пер­ и в данном случае: Rm снимает часть (точ­
сонального компьютера модели 2000. Мы нее 50%) выходного напряжения + 5 В,
пытались получить схемы от фирм IBM и которая сравнивается с внутренним эта­
Apple, но нас либо игнорировали, либо лонным напряжением +2,5 В на £/,, и,
отказывали с явным высокомерием. Ф ир­ если напряжение слишком велико, вклю­
ма Tandy, напротив, публикует превос­ чается фотодиод U2A. Ф отодиод имеет
ходную документацию с полными схема­ оптическую связь с фототранзистором
ми и подробным описанием. Этот источ­ f/2B, который изменяет длительность им­
ник дает стабилизированные выходные пульсов и г и поддерживает выходное на­
напряжения +5 В при 13 А, +12 В при пряжение на уровне +5 В. Таким обра­
2,5 А и - 12 В при 0,2 А (всего 95 Вт), зом, блок, помеченный на рис. 6.45 как
которые используются для питания логи­ «изоляция», представляет собой оптопа­
ческих схем и накопителей на гибких маг­ ру (см. разд. 9.10).
нитных дисках компьютера. До этого момента мы объяснили назна­
Пройдемся по схеме рис. 6.46, чтобы чение около 25% компонентов рис. 6.46.
посмотреть, как импульсный источник с Остальные необходимы для того, чтобы
питанием от сети справляется с реальны­ справиться с такими проблемами, как
ми задачами. Топология схемы, выбран­ а) защита от короткого замыкания; б) от­
ная проектировщ иками фирмы Tandy, ключение при перенапряжениях и пони­
точно соответствует тому, что показано ж енном напряж ении; в) вспомогатель­
на рис. 6.45, хотя и имеет большее число ный источник для схемы стабилизации;
компонентов! Сравните рисунки. Мосто­ г) фильтрация сети переменного тока и
вой выпрямитель (BR1), питающийся от д) лин ейн ая постстабилизация источ­
сети, заряжает конденсаторы фильтра ников + 12 В. Объясним эту часть схемы
Сзо’ C3i’ с з2 и Qo ( ТР2 ~ это не транс­ более подробно.
форматор, обратите внимание на вклю­ На входе сети переменного тока мы
чение, а ф ильтр подавлени я помех). обнаруживаем 4 конденсатора и пару по­
Заряженные конденсаторы подключают­ следовательных индуктивностей, обра­
ся к первичной обмотке трансформатора зующих в сочетании фильтр для подав­
(выводы 1 и 3) с помощью мощного тран­ ления радиопомех. Это, конечно, всегда
зистора Т]5, п ереклю чаю щ ее к о л еб а­ полезно очистить сеть переменного тока
ние (прямоугольный импульс переменной при подаче ее в устройство (см. разд.
длительности ф иксированной частоты) 6. 11); в данном случае, однако, необхо­
для которого вы рабаты вается И С U} дима дополнительная тщательная фильт­
(импульсным стабилизатором с Ш ИМ). рация для того, чтобы радиочастотные
Вторичная обмотка (на самом деле их помехи, создаваем ы е внутри маш ины
три, по одной на каждое выходное напря­ (главным образом, за счет переключений
жение) включается в однополупериодную в источнике питания), не излучались через
схему выпрямления для выработки на­ силовую сеть. Обратите внимание также
пряжения постоянного тока: + 12 В вы­ на перемычку EgE9, которая преобразует
рабатывается с помощью CR2 от 7-витко- вход из двухполупериодного моста (пере­
вой обмотки с выводами 11 и 18, — 12В — мычка снята) в двухполупериодный удво­
с помощью С/?4 от 5-витковой обмотки итель напряжения (перемычка установле­
с выводами 13 и 20 и +5 В — с помощью на); изготовители, желающие экспорти­
запараллеленны х CR3 и CRH, причем ровать свою электронную продукцию,
каждый подключен к своей собственной должны обеспечить совместимость с сетью
(2-витковой) обмотке. 110/220 В; это значительно проще сделать
В импульсных источниках с несколь­ в случае импульсных источников.
кими выходами для обратной связи мож­ Термисторы R T Xи RT2 используются
но использовать только один выход. Для для ограничения высокого пускового тока,
Стабилизаторы напряжения и источники питания 385

когда источник включается первый раз может запустить сама себя, поскольку
и сеть обнаруживает в некоторой точке вспомогательное напряжение появляется
несколько сотен микрофарад незаряжен­ только тогда, когда уже работает источ­
ной емкости. Без термисторов (или без ник питания! Оказывается, что это старая
других средств) пусковой ток легко может проблема: разработчики телевизоров лю­
превысить 100 А! Термисторы дают всего бят пошутить таким же образом, ф ор­
один-два Ома последовательного сопро­ мируя все свои низковольтные напряже­
тивления, которое падает почти до нуля, ния от вспомогательных обмоток на вы­
когда они прогреты. Даже при использо­ сокочастотном трансформаторе горизон­
вании термисторов пусковой ток может тальной развертки. Эта проблема реша­
быть весьма значительным: источник пи­ ется с помощью так называемой схемы с
тания имеет специфицированный «вход­ ударным запуском, в которой для запус­
ной экстраток» 70 А макс. ка схемы привлекается некоторое неста-
Последовательные индуктивности Ь5 и билизированное напряжение; однажды за­
Z,7 величиной 100 мкГн в нестабилизиро- пущенный, источник поддерживает сам
ванном источнике также предназначены себя от постоянного напряжения, полу­
для фильтрации импульсных помех, а ченного от собственного трансформатора.
шунтирующие резисторы 82 кОм (R35 и В данной схеме ударный запуск поступа­
/?46) служат для обеспечения полного раз­ ет через R42 и при включении питания
ряда конденсаторов фильтра после от­ н ач и н ает заряж ать С37. Д алее н ичего
ключения питания. Несколько дополни­ не происходит, пока напряжение на кон­
тельных «амортизирующих» пассивных денсаторе не превысит напряжение ста­
компонентов использованы для демпфи­ билитрона CRX0 на величину прямого п а­
рования больших всплесков напряжения, дения напряжения диодного ^-«-перехо­
которые могли бы привести к поврежде­ да, тиристорнообразное сочетание Тх0 и
нию переключающего транзистора Тху Тхх переключается в состояние проводи­
Функция CRX, более тонкая - он искусно мости (подумайте, как это работает),
возвращает неиспользованную энергию ослабляя заряд С37 за счет конденсатора
трансформатора на конденсаторы фильт- С28 и обеспечивая, таким образом, крат­
ра С30 и С40. ковременную подачу питания на управля­
Двигаясь вниз, мы сталкиваемся с по- ющую схему (U} и все компоненты влево
истине хитроумной схемой, называемой от н е е ). Р аз г е н е р а т о р за п у с т и л с я ,
«вспомогательный источник». Для рабо­ CRt) начинает вырабатывать напряжение
ты И С контроллера Ш ИМ и связанных 15 В и ток, достаточный для непрерыв­
с ним схем требуется низковольтный и ной работы управляющей схемы (R42 обес­
слаботочный источник постоянного н а­ печить это не в состоянии).
пряжения. Одной из возможностей явля­ Больш инство компонентов вокруг £/,
ется использовать отдельный небольшой обслуживаю т ее (С27 и R ix, наприм ер,
линейный источник со своим собственным устанавливают частоту повторения и м ­
сетевым трансформатором и т. п. Соблаз­ пульсов 25 кГц). На стороне входа U2S
нительно, однако, подвесить еще одну обеспечивает общую обратную связь для
обмотку (с однополупериодным выпрями­ поддержания выхода на уровне + 5 В, как
телем) на Тх, избавляясь от отдельного ранее описано. Т и Т9 образуют еще одну
трансформатора. Как раз это и сделал тиристорноподооную защелку; здесь она
здесь разработчик с помощью 4-витковой запускается для того, чтобы «заткнуть» ге­
обмотки (выводы 9 и 10), напряжение нератор (и последовательны й ф и к с и ­
с которой выпрямляется и фильтруется рующийся ключ ТХ0Ти), если ток эмит­
элементам и CR9 и С 37. Э тот простой тера ТХ5 (считываемый с помощью Я44)
источник формирует выход с номиналь­ становится слишком большим, например,
ным напряжением 15 В. если источник питания обнаруживает ко ­
Наблюдательный читатель может заме­ роткое замыкание на выходе. Последо­
тить в этой схеме один изъян: схема не вательная цепочка /?43 С25 обеспечивает
386 Глава 6

постоянную времени около 1 мкс, так что торый в сочетании с несколькими резис­
схема не будет запускаться от импульс­ торами и конденсаторами для нейтрали­
ных всплесков. На блокирующую схему зации обратной связи образует обратную
поступает также сигнал от делителя связь с изоляцией через оптрон U1AB. Вы­
Л26Л24, который подавляет генерацию , ход +5 В снимается также через R ]SR i9
если входное переменное напряжение ста­ для запуска И С датчика перенапряжений
новится ниже 90 В. На выходной стороне (£/,: t/nopor = +2,5 В); последний запуска­
и ъ транзисторы Тп~ Т н вырабатываю т ет управляющий электрод тиристора Т6,
сильноточный двухтактный запуск на ба­ который шунтирует источник +12 В и за
зу ТХ5, используя задействованный в ИС счет ограничения по току на первичной
одним выводом я-/ь/2-транзистор (объ­ стороне останавливает работу источника.
ясните как). Обратите внимание на «пет­ Способ включения Ux обеспечивает также
лю / с», провод соответствующей длины возможность определения пониженного
в коллекторе Tj5, которая позволяет на­ напряжения по специальной вспомога­
блюдать форму тока на осциллографе, тельной добавке от CRS и С|9; сигнал
используя зажимной токовый щуп (по­ о понижении напряжения (насыщенный
смотрите, например, каталог фирмы Tek­ п - р -«-транзистор, подключенный к зем­
tronix). ле) передается на микропроцессор, пре­
На выходной стороне 7>, все значи­ дупреждая систему о возможном отказе
тельно проще. В источнике + 5 В исполь­ источника питания, так что программа
зуются запараллеленные диоды Ш оттки может осуществить упорядоченный оста­
(СЛ|3 и CRH) для обеспечения быстрого нов без потери данных.
восстановления и низкого падения напря­ Для улучшения стабилизации источни­
жения в режиме прямого тока (MBR 3035 ков ±12 В разработчики использовали
РТ имеет следующие параметры: средний несколько хитроумных приемов, без ко­
ток 30 А при 20 кГц, напряжение пробоя торых эти источники полностью зависели
в режиме обратного тока 35 В, типовое бы от источника + 5 В. Для источника
падение напряжения в режиме прямого + 12 В они использовали выход +5 В
тока 0,5 В при 10 А) и «амортизирующая в качестве эталонного напряжения для
цепь» (10 0 м /0 ,0 1 мкФ ) для защ иты усилителя ошибки Т2, который управляет
диодов от высоковольтных всплесков. магнитным усилителем. Последний со­
Фильтр с 71-образными звеньями состоит держит насыщающийся реактор с добав­
из входной емкости 8800 мкФ, последова­ кой противодействующего «сбросового
тельной индуктивности 3,5 мкГн и выход­ тока» через Гг Сбросовый ток опреде­
ной емкости 2200 мкФ. (Более слаботоч­ ляет, сколько вольт-секунд будет блоки­
ные выходы ± 12 В также используют од- ровать индуктивность до достижения со­
нополупериодные выпрямители Ш оттки, стояния магнитного насыщения, в кото­
фильтры с ^-образными звеньями с мень­ ром она работает как превосходный про­
шими величинами всех компонентов.) По водник. М агнитный усилитель заслужи­
стандартам линейных стабилизаторов та­ вает своего названия, поскольку неболь­
кой уровень фильтрации может показать­ шой ток управления изменяет большой
ся избыточным, но припомните, что там выходной ток. Контроллеры для магнит­
нет постстабилизации, —то, что выходит ных усилителей выпускаются в виде пол­
из фильтра, — это «стабилизированное по­ ностью интегрированных схем, например,
стоянное», поэтому требуется более силь­ UC3838 фирмы Unitrode.
ная фильтрация для того, чтобы умень­ Д ля слаботочного и сточни ка —12 В
шить пульсацию на выходе, в основном разработчики выбрали самое простое ре­
на частоте переключения, по крайней ме­ шение, использовав линейный постстаби­
ре, до 50 мВ. лизатор типа 7912 с диодами для защиты
Выходное напряжение +5 В через де­ от обратного включения. На всех выходах
литель R3R l0R ,, поступает на «трехвы­ постоянного тока разработчики включи­
водной Зенер» TL431 ( U4) фирмы TI, ко­ ли конденсаторы развязки и резисторы
Стабилизаторы напряжения и источники питания 387

для обеспечения небольшого тока на вы­ кроме того, они полностью экран и ро­
ходе. ваны и имеют усиленную фильтрацию
На схеме этого источника можно уви­ входа.
деть большую часть тех узлов, которые 3. Выпускаются и получили широкое
редко упоминаются в учебниках, но ко­ распространение импульсные источники
торые на самом деле очень существенны. на несколько выходов. Однако отдельные
Применение дополнительных компонен­ выходы формируются с помощью допол­
тов в этой схеме щедро вознаграждается нительных обмоток на общем трансфор­
гарантией надежной работы источника маторе. Как правило, обратная связь сни­
в условиях пользователя. Хотя может по­ мается с выхода с наибольш им током
казаться, что эти дополнительные хло­ (обычно с выхода +5 В), а это означает,
поты являются результатом излиш ней что остальные выходы стабилизируются не
скрупулезности, на самом деле это твер­ очень хорошо. В спецификации указы­
дая экономическая политика, — каждый вается обычно «кросс-стабилизация», к о ­
отказ у пользователя при наличии гаран­ торая показывает, например, насколько
тий стоит изготовителю, по крайней мере, меняется напряжение на выходе +12 В
сотню долларов на перевозку плюс сто­ при изменении нагрузки на выходе +5 В
имость ремонта, не говоря уж об опоро­ от 75% полной нагрузки до 50% или 100%
ченной репутации. полной нагрузки; типовое значение кросс­
Общие замечания относительно им­ стабилизации составляет 5%. В некото­
пульсных источников с питанием от сети. рых многовыходных импульсных источни­
1. Импульсные источники с питанием ках добиваются превосходной стабилизации,
от сети (их называют иногда «автоном­ используя на вспомогательных выходах
ными» импульсными источниками, но линейны е пост-стабилизаторы , но это
нам не нравится это название) — это пре­ исключение. Внимательно просматривай­
восходные мощные источники питания. те технические данные!
Благодаря высокому КПД при работе они 4. Импульсные источники с питанием
не нагреваются, а отсутствие трансфор­ от сети могут выдвигать требование по
матора низкой частоты делает их более минимальному току нагрузки. Если ток
легкими и меньш ими по размерам по нагрузки может упасть ниже минималь­
сравнению с эквивалентными линейны­ ного, то вы должны добавить некоторую
ми источниками. По этим причинам для резистивную нагрузку, в противном слу­
обеспечения питания компьютеров, даже чае возможно сильное повы ш ение н а­
настольных персональных компьютеров, пряжения или появление колебаний. Н а­
используют исключительно импульсные пример, упомянутый выше импульсный
источники с питанием от сети. Они также источник + 5 В, 26 А имеет минимальный
находят применение и в других порта­ ток нагрузки 1,3 А.
тивных приборах, даже в таких чувстви­ 5. Будьте внимательны при работе от
тельных к помехам устройствах, как ос­ импульсного источника с питанием от
циллографы. сети. Многие компоненты находятся под
2. Импульсные источники шумят! Вы­ напряжением сети и несут смертельную
ходные напряж ения содержат десятки опасность. Нельзя подключать заземление
милливольт пульсаций переключения, они щупа осциллографа к схеме.
подбрасывают в сеть «мусор», их вопли 6. Когда вы впервые включаете пита­
можно даже услышать! Одно из средств ние, сеть переменного тока обнаруживает
борьбы против пульсаций на выходе, если большой незаряженный электролитичес­
они доставляют беспокойство, - это до­ кий конденсатор фильтра (разумеется,
бавить внешний сильноточный LC-фильтр через диодный мост). Последующий «пус­
нижних частот; другой способ —добавить ковой» ток может оказаться просто ог­
линейный постстабилизатор с низким ромным; для нашего источника фирмы
падением. Некоторые преобразователи Power-One он составляет 17 А макс, (срав­
постоянного тока содержат эти средства, ните с входным током при полной н а­
388 Глава 6

грузке 1,6 А). Коммерческие «импульс- есть большая индуктивность, то в систе­


ники», для того чтобы удержать пусковой ме возможны колебания.
ток в «цивилизованных» границах, ис­
пользуют различные приемы «мягкого Советы.
пуска». Одним из таких приемов являет­ Счастье для вас, что мы не стесняемся
ся включение последовательно с входом давать советы. Вот они.
резистора с отрицательным ТКС (низко­ 1. Для цифровых систем обычно требу­
омного термистора); другой способ —бы­ ется напряжение + 5 В и часто большой
стро отключить небольшой (10 Ом) пос­ ток (10 А и более).
ледовательный резистор после включения Совет: а) используйте импульсный ис­
источника. точник с питанием от сети; б) купите его
7. Импульсные источники содержат (если требуется, добавьте фильтр).
обычно схему отключения при перенап­ 2. Аналоговые схемы с сигналами низ­
ряжениях, аналогичную наш ей ш унти­ кого уровня (слабосигнальные усилители,
рующей тиристорной схеме. Часто это сигналы менее 100 мкВ и т. п.).
простая схема на стабилитроне, которая Совет: используйте линейные стабили­
останавливает генератор, если постоянное заторы; импульсные слишком шумны, они
напряжение на выходе превышает опре­ испортят вам жизнь.
деленную величину. М ожно придумать Исключение: для некоторых схем с бата­
такие виды отказов, при которых такие рейным питанием, возможно, лучше ис­
«шунты» не будут ничего шунтировать. пользовать маломощный импульсный пре­
Для максимальной безопасности вы, воз­ образователь постоянного тока.
можно, захотите использовать автономные 3. Что-то большой мощности. Совет:
внешние шунтирующие схемы на тирис­ используйте импульсный источник с пи­
торах. танием от сети. Он меньше, легче и хо­
8. Импульсные источники имеют обыч­ лоднее.
но скверную репутацию относительно на­ 4. Высоковольтная, м&томощная аппа­
дежности, но последние схемы как будто ратура (фотоэлектронные умножители,
намного лучше. Тем не менее, когда они лампы-вспыш ки, электронно-оптические
решают взорваться, они делают это с боль­ преобразователи, плазменные дисплеи).
шой помпой! Один из них у нас взорвал­ Совет: используйте маломощный повы­
ся, изрытая все свои внутренности, как и шающий преобразователь.
внутренности невинных электронных сви­ В общем случае, маломощные преоб­
детелей. разователи постоянного тока легко спро­
9. Импульсные источники с питанием ектировать, потребуется всего несколько
от сети на самом деле сложны и хитроум­ компонентов типа И С серии Maxim. Не
ны с точки зрения надежности. Необхо­ стесняйтесь делать их собственными ру­
димы сп ец и альн ы е и н д укти вн ости и ками. В противоположность этому, им­
трансформаторы. Наш совет, откажитесь пульсные источники большой мощности
от их проектирования, покупайте то, что (обычно с питанием от сети) сложны,
вам нужно! В конце концов, зачем со­ хитроумны и чрезвычайно беспокойны.
здавать то, что можно купить? Если вам необходимо спроектировать свой
10. Импульсные источники являются собственный источник, будьте осторож­
особенной нагрузкой для питающей сети. ны, проверьте очень тщательно свою схе­
В частности, увеличение сетевого напря­ му. А лучше, подавите самолюбие и ку­
жения приводит к снижению среднего пите самый лучший импульсный источ­
тока, поскольку источник работает при ник, какой вы только сможете найти.
постоянном КПД, т. е. он является на­
грузкой с отрицательным сопротивлени­
ем (усредненным на периоде 60 Гц), а это
может привести к совершенно сумасшед­
шим эффектам. Если в питающей сети
Стабилизаторы напряжения и источники питания 389

ИСТОЧНИКИ ПИТАНИЯ ной базы 1500 В; стоимость его менее


СПЕЦИАЛЬНОГО НАЗНАЧЕНИЯ 5 долл. МТР 1N100 (аналогичен European
BUZ-50) представляет собой 1-амперный
6.20. Высоковольтные стабилизаторы мощный МОП-транзистор с напряжением
пробоя 1000 В; цена несколько долларов.
При проектировании линейных стаби­ Благодаря превосходной области безопас­
лизаторов, вырабатывающих высокое на­ ной работы (отсутствие вторичного тепло­
пряжение, возникает ряд специальных вого пробоя), мощные МОП-транзисторы
проблем. Поскольку напряжение пробоя наиболее всего подходят для применения в
обычного транзистора не превышает, как высоковольтных стабилизаторах.
правило, 100 В, при разработке источни­ Используя усилитель ошибки в режиме
ков с более высоким напряжением необ­ работы вблизи уровня земли (делитель
ходимо применять некоторы е н естан ­ для съема выходного напряж ения дает
дартные реш ения. В этом разделе мы малую долю выхода), можно построить
представим набор таких способов. высоковольтный стабилизатор, в котором
Решение в лоб: высоковольтные компо­ под высоким напряжением будет нахо­
ненты. Выпускаются мощные транзисто­ диться только проходной транзистор и
ры, как биполярные, так и МОП с н а­ формирователь, работающий на него. На
пряжением пробоя 100 В и выше; они рис. 6.47 показан принцип построения
даже и не очень дорогие. Н априм ер, такой схемы. В данном случае это стаби­
MJ1 2005 фирмы Motorola — это 8-ампер­ лизированный источник на напряжение
ный мощный я-р-я-транзистор с напря­ от 100 до 500 В, в котором использованы
жением пробоя коллектор — эмиттер 750 В проходной я-М ОП-транзистор и форми­
и напряжением пробоя обратно смещен­ рователь. Т2 представляет собой последо­

От +600 до 750 В

Рис. 6.47. Высоковольтный регулируемый источник питания.


390 Глава 6

вательный проходной транзистор, кото­ проходной транзистор на 300 В, потому


рый запускается от инвертирующего уси­ что напряжение на нем никогда не пре­
лителя Тг В качестве усилителя ошибки высит 300 В даже при включении и вы­
используется операционный усилитель, ключении, а также при коротком замы­
сравнивающий регулируемую долю выхо­ кании выхода. Последнее весьма пробле­
да с прецизионным эталонным источни­ матично, но, шунтируя Т2 300-вольтовым
ком + 5 В. Г3 обеспечивает ограничение стабилитроном, эту проблему можно ре­
по току путем отключения запуска Т2 при шить. Если стабилитрон может работать
падении напряжения на резисторе 33 Ом, с большим током, он может и защитить
равном падению UB3. Остальные компо­ проходной транзистор от короткозамкну­
ненты выполняют более тонкую, но не­ той нагрузки, если перед стабилизатором
обходимую работу. Диод защищает Т2 от стоит подходящий предохранитель. Здесь
обратного пробоя затвора, если вдруг Г, может помочь активная схема на стаби­
решит понизить напряжение на стоке (в литронах, упомянутая в разд. 6.06.
то время как выходной конденсатор под­ Стабилизация в цепи земли. Другой спо­
держивает исток Т2). Различные неболь­ соб стабилизации высокого напряжения
шие конденсаторы обеспечивают нейтра­ с помощью низковольтных элементов по­
лизацию, которая необходима, поскольку казан на рис. 6.48. 7j — последовательный
Tt работает как инвертирующий усилитель проходной транзистор, но соединенный
с усилением по напряжению и вносит не­ с низковольтным концом источника пи­
устойчивость в контур операционного уси­ тания; его «выход» поступает на землю.
лителя (особенно в схеме с емкостной на­ Напряжение на нем — это только часть
грузкой). Эта схема является исключени­ выходного напряжения, и поэтому тран­
ем из общего правила, которое гласит, что зистор «сидит» вблизи уровня земли, что
транзисторные схемы не представляют упрощает схему ф ормирования. К ак и
электрической опасности! раньш е, следует обеспечить защ иту во
Здесь мы не можем противостоять иску­
шению несколько отклониться от темы:
с небольшими изменениями (эталонный
источник заменяется на сигнальный вход)
эту схему можно превратить в превосход­
ный высоковольтный усилитель, удобный
для управления «ненормальными» н а­
грузками типа пьезопреобразователей.
Для таких специфических применений
схема должна быть способна как отводить,
так и отдавать ток в емкостную нагрузку.
Как это ни странно, схема работает как
«псевдодвухтактный выход» с Тг, отдающим
ток, и Гр отводящим ток (через диод),
в зависимости от необходимости; см.
разд. 3.14.
Если вы соковольтны й стабилизатор
проектируется только на нерегулируемое
выходное напряж ение, то проходной
транзистор может иметь напряжение про­
боя меньше, чем выходное напряжение.
В предыдущей схеме замена резистора
для регулировки напряжения на постоян­
ный резистор 12,4 кОм превращает схе­
му в нерегулируемый стабилизатор на
+ 500 В. В этом случае вполне подойдет Рис. 6.48. Стабилизация в цепи земли.
Стабилизаторы напряжения и источники питания 391

время переходных процессов включения — се. Прохождение тока через диод приво­
выключения и при перегрузках. Доста­ дит транзистор в проводящее состояние,
точно проста стабилитронная защ ита, как если бы в транзисторе протекал базо­
показанная на рисунке, но надо помнить, вый ток. Для того чтобы вывести фото­
что стабилитрон должен выдерживать ток транзистор в активный режим, как и в
короткого замыкания. случае обычного транзистора, необходи­
«Подъем» стабилизатора над уровнем мо приложить коллекторное напряжение.
земли. Для расширения диапазона напря­ В большинстве случаев базовый вывод
жений стабилизатора, включая и простые отсутствует. Оптопары имеют, как пра­
трехвыводные стабилизаторы, применяют вило, изоляцию , способную выдержать
еще один способ — поднятие общего вы­ напряжение между входом и выходом в
вода стабилизатора относительно уровня несколько тысяч вольт.
земли с помощью стабилитрона (рис. На рис. 6.50 показано несколько спо­
6.49). В этой схеме Д добавляет свое на­ собов применения транзистора с оптичес­
пряжение к обычному выходу стабилиза­ кой связью в высоковольтном источнике.
тора. Д 2 через повторитель Tt устанав­ На первой схеме фототранзистор Тг за­
ливает падение напряжения на стабили­ крывает транзистор Т3, если напряжение
заторе и совместно с Д } обеспечивает на выходе поднимается слишком высоко.
защиту от короткого замыкания. На второй схеме, на которой показан
Транзистор с оптической связью. Су­ только фрагмент с проходным транзисто­
ществует еще один способ решения про­ ром, фототранзистор, находясь в возбуж­
блемы пробоя транзистора в вы со ко ­ денном состоянии, увеличивает выходное
вольтных источниках питания с приме­ напряж ение, поэтому входы усилителя
нением сравнительно низковольтного ош ибки долж ны быть инвертированы .
проходного транзистора для нерегули­ Обе схемы формируют некоторый выход­
руемого (известного) выходного напря­ ной ток в цепи см ещ ения проходного
жения. В подобных случаях высокое на­ транзистора, поэтому, для того чтобы
пряжение должен выдерживать только уп­ удержать выходное напряжение от подъе­
равляющий транзистор, но, используя ма при отсутствии нагрузки, между выхо­
оптически связанные транзисторы, мож­ дом и землей следует включить опреде­
но избежать и этого. Эти приборы, о ко ­ ленную нагрузку. Эту работу может вы­
торых мы поговорим ниже в связи с со­ полнить делитель напряжения для съема
пряжением цифровых элементов в гл. 9, выхода или отдельный шунтирующий ре­
состоят в действительности из двух эле­ зистор, подключенный к выходу, кото­
ментов, электрически изолированных друг рый, вообще говоря, всегда рекоменду­
от друга: светодиода, который излучает ется подключать в высоковольтных и с­
свет, если через него протекает ток в пря­ точниках.
мом направлении, и фототранзистора (или Плавающий стабилизатор. И збеж ать
фотопары Дарлингтона), расположенных применения высоковольтных компонен­
вблизи друг друга в непрозрачном корпу- тов в схеме управления источника вы ­
сокого напряжения можно еще одним спо­
собом — «подвесить» управляющую схему
на потенциал проходного транзистора,
сравнивая падение напряж ения на его
собственном эталонном источнике с паде­
нием между ним и землей. Для такого
рода применений предназначена превос­
ходная ИС стабилизатора МС1466, кото­
рой требуется вспомогательный слаботоч­
ный плавающий источник напряжением
20—30 В для питания собственной схе­
Рис. 6.49. мы. Выходное напряжение ограничивает­
392 Глава 6

Высоковольтный неста-
билизир. вход

Рис. 6.50. Высоковольтный стабилизатор с оптической изоляцией.

ся только проходными транзисторами и лизирует его, меняя соответствующ им


изоляцией вспомогательного источника образом длину шеи. Схема TL783 фирмы
питания (напряжением пробоя изоляции Texas Instruments —это ИС стабилизатора
трансформатора). Схема МС1466 харак­ на 125 В, которая работает аналогичным
теризуется очень хорошей стабилизацией образом; в случае небольших токов она
и прецизионной схемой ограничения тока, заменяет схему на дискретных компонен­
поэтому она вполне подходит для точных тах, показанную на рис. 6.51.
«лабораторных» источников питания. Од­
нако следует предостеречь вас: в МС1466,
в отличие от большинства современных
стабилизаторов, нет внутренней тепловой
защиты.
Изящный способ построения плаваю­
щего стабилизатора может быть осуществ­
лен с помощью недавно появивш ейся
ИС - LM10 — сочетания операционного
усилителя и источника эталонного напря­
жения, явившей собой знаменательное со­
бытие в технологии производства ИС со
времени откры тия Видлара (см. разд.
4.13). Эта схема работает только от од­
ного источника питания 1,2 В, т. е. ее
можно подклю чить к перепаду б а з а -
эмиттер проходного транзистора Д ар­
лингтона! Пример показан на рис. 6.51.
Если вы любите аналогии, то представьте
себе жирафа, который измеряет свой рост, Рис. 6.51. Высоковольтный «плавающий» стабили­
глядя на землю с высоты, а затем стаби­ затор.
Стабилизаторы напряжения и источники питания 393

Высоковольт-

Альтернативный
вариант на
МОП-транзисторах

Последовательное соединение транзисто­ лителя н а вы соких частотах. Ем кость


ров. На рис. 6.52 показан трюк с после­ конденсаторов должна быть достаточно
довательным соединением транзисторов большой для того, чтобы нейтрализовать
для увеличения напряжения пробоя. Тран­ разницу входных емкостей транзисторов;
зистор Т{ управляет последовательно со­ в противном случае будет неравное деле­
единенными транзисторами Т2— Т4, кото­ ние и общее напряжение пробоя умень­
рые делят между собой большое напря­ шится.
жение между коллектором Т2 и выходом. П оследовательно соединенны е тран ­
Одинаковые базовые резисторы выбира­ зисторы можно использовать, конечно, не
ются достаточно малыми, чтобы обеспе­ только в источниках питания. Их иногда
чить полный выходной ток транзисторов. можно увидеть в высоковольтных усили­
Аналогичная схема будет работать и на телях, хотя часто это и необязательно, так
МОП-транзисторах, но в этом случае сле­ как выпускаются высоковольтные М ОП-
дует подключить, как показано на рисун­ транзисторы.
ке, диоды защиты от обратного пробоя В высоковольтны х схемах типа этой
затвора (относительно прямого пробоя можно легко упустить из виду тот факт,
затвора вам не следует беспокоиться, по­ что могут потребоваться 1-ваттные (и бо­
скольку МОП-транзисторы будут доста­ лее) резисторы, а не стандартные на 1/4 Вт.
точно быстро включаться еще задолго до Н епосвящ енных ожидает более тонкая
пробоя за т в о р -к а н а л ). Зам етьте, что ловушка, а именно: максимальное напря­
резисторы смещения дают некоторый вы­ жение, достигающее 250 В, для стандар­
ходной ток, даже когда транзисторы вы­ тных («угольных») резисторов на 1/4 Вт
ключены, поэтому должна быть м ини­ н езав и си м о от м ощ н ости р ассея н и я.
мальная нагрузка на землю, для того что­ Угольные резисторы проявляют на высо­
бы предотвратить подъем вы ходного ких напряжениях довольно странное по­
напряжения выше стабилизированного ведение коэффициентов сопротивления по
уровня. Во многих случаях целесообраз­ напряжению, не говоря уж о постоянных
но включить параллельно резисторам де­ изменениях сопротивления. Н апример,
лителя небольшие конденсаторы для того, при реальных измерениях (рис. 6.53) на
чтобы обеспечить работоспособность де­ делителе 1000:1 (10 МОм, 10 кОм) при
394 Глава 6

Стабилизация входного напряжения.


В высоковольтных источниках питания
особенно в тех, которые работают с ма­
лыми токами, иногда применяют другой
способ - стабилизацию не выходного на­
пряжения, а входного. Обычно это де­
лается с помощью высокочастотных им­
пульсных преобразователей постоянного
тока, поскольку попытка стабилизировать
вход переменного напряжения 60 Гц при­
водит к слабой стабилизации и высокому
уровню остаточной пульсации. Основная
идея показана на рис. 6.54. Трансформа­
тор 7>, и связанная с ним схема форми­
руют некоторое промежуточное нестаби­
лизированное напряжение, допустим, 24 В;
и „ мВ
можно использовать и аккумулятор. От
Рис. 6.53. Угольные композиционные резисторы
этого нап ряж ени я работает генератор
показывают снижение сопротивления при напряже­
ниях выше 250 В. прямоугольны х импульсов, на выходе
которого размещается двухполупериодный
выпрямитель и фильтр. Отфильтрован­
напряжении 1 кВ отношение оказывает­ ный постоянный ток является выходным
ся равным 775:1 (ошибка 29%!); обратите сигналом, часть которого поступает об­
внимание, что мощность соответствовала ратно на генератор для управления сква­
номинальной. Этот «неомический» эф ­ жностью или амплитудой в зависимости
фект играет важную роль, в частности, в от выходного напряжения. Поскольку ге­
делителях для съ ем а вы ход н ого н а ­ нератор работает на высокой частоте, ре­
пряжения в высоковольтных источниках акция схемы достаточно быстрая, а вып­
питания и усилителях. Будьте вниматель­ рямленное напряжение легко фильтрует­
ны! Фирмы, такие как Victoreen, выпус­ ся, поскольку оно происходит от прямо­
кают резисторы различного типа, пред­ угольного колебания, подвергнутого двух-
назначенные для подобных высоковольт­ полупериодному выпрямлению . Транс­
ных применений. форматор Тр2 должен быть рассчитан на

Рис. 6.54. Высоковольтный импульсный источник питания.


Стабилизаторы напряжения и источники питания 395

работу с высокочастотным сигналом, так +50 В


как обычный мощный трансформатор с
наборным сердечником будет иметь зна­
чительные потери. Подходящие транс­
форматоры изготавливают из железного
порошка, феррита или с использованием
тороидальных ленточных сердечников; они
намного легче и меньше по сравнению с
традиционными мощными трансформато­
рами той же мощности. Здесь не исполь­
зованы высоковольтные компоненты, за
исключением, конечно, выходного вып­
рямительного моста и конденсатора.
Читая последний параграф, искуш ен­ 2А
ный читатель, возможно, испытает свое­
го рода deja vu°. Действительно, это все
очень похоже на импульсный стабилиза­
тор (разд. 6.19). Одно существенное от­
личие состоит в том, что импульсные +300
источники обычно используют индуктив­ ^Т,(обм)р-----------I I “
ности в качестве энергозапасающих эле­
ментов, в то время как высоковольтный
источник со стабилизацией входа исполь­ _______ N -
зует Тр2 как «обычный» (хотя и высоко­ * 0
частотный) трансформатор. То, что род­
нит высоковольтные источники с импуль­ Рис. 6.55. Высоковольтный источник для видеомо­
сными, так это высокочастотные пульса­ ниторов.
ции и помехи.
Высоковольтные выпрямители для ви­ Транзистор 7j запускается широкими им ­
деомониторов. Для формирования высо­ пульсами и подключает первичную об­
кого постоянного напряжения (10 кВ и мотку к земле. Схема может быть вы ­
выше), необходимого в телевизорах и ви­ полнена с самовозбуждением или с запус­
деомониторах на ЭЛТ, обычно исполь­ ком от генератора. Д х — это дем пф ер­
зуют вариант традиционного вы соко­ ный диод, который защищает коллектор
вольтного импульсного стабилизатора Тх от слишком высокого подъема во вре­
(рис. 6.43 а). Как вы увидите, схема эта мя обратного хода. Д 2, подключенный к
чрезвычайно умна, поскольку она форми­ высоковольтной вторичной обмотке, вып­
рует вдобавок и сигнал горизонтальной рямляет выходное напряжение, которое
развертки для зап уска откл он яю щ ей составляет обычно 10-20 кВ при токе
системы. несколько миллиампер. Схема работает
Основная идея заключается в исполь­ на частотах 15 кГц и более; это означает,
зовании трансформатора с большим ко­ что конденсатор фильтра С, может быть
эффициентом трансформации и запуске достаточно маленьким - всего несколько
первичной обмотки от насыщенного тран­ сотен пикофарад (убедитесь в этом сами,
зистора, точно так же как в традиционной подсчитав пульсацию).
высоковольтной схеме. Выходное напря­ Заметьте, форма волны коллекторного
жение снимается с вторичной обмотки, тока - линейно-нарастающая пила, кото­
выпрямляется и используется как высокое рая обы чно используется для запуска
напряжение постоянного тока; см. рис. 6.55. магнитной отклоняющей системы (назы­
ваемой также «ярмо») ЭЛТ и обеспечения
линейной скорости горизонтального ска­
11 С и н д р о м уж е в и д е н н о г о ( ф р а н ц .). — П р и м . п ер ев. нирования. В этих случаях частоту гене-
+30 стабилизир.

Рис. 6.56. Сверхстабильный источник питания с низким уровнем помех.


Стабилизаторы напряжения и источники питания 397

ратора выбирают равной частоте горизон­ на 10 Гц) в шум напряжения 2,4 нВлГц,
тальной развертки. Похожие схемы — это сравнимый с шумом еш операционного
так назы ваемы е б локин г-генераторы , усилителя. Используется полипропиле­
которые формируют свои собственные им­ новый конденсатор, потому что утечка
пульсы возбуждения. конденсатора (более точно изменение
утечки от времени и температуры) долж­
6.21. Источники питания с малым на быть менее 0,1 нА, для того чтобы из­
уровнем помех и малым дрейфом бежать микровольтовых дрейфов выходно­
го напряжения. С помощью операцион­
Стабилизированные источники питания, ного усилителя, резисторы в обратной
которые мы описали, до сих пор остают­ связи которого имеют сверхнизкий ТКС
ся одними из лучших, —они имеют обыч­ (0,2- 10_6/°С макс), эталонное напряже­
но пульсацию и уровень помех ниже мил­ ние доводится до +25 В; заметьте, что н а­
ливольта и температурный дрейф около п ряж ени е п итани я составляет +30 В.
100- 10 ~6/°С. Это более чем достаточно Полученное опорное напряжение +25,0 В
для питания почти всего, что может ког­ поступает на делитель напряж ения для
да-либо понадобиться. Однако возмож­ формирования желаемого выходного н а­
но вам потребуются еще лучшие техни­ пряжения, которое затем вторично филь­
ческие характеристики и вы не сможете труется фильтром НЧ с использованием
обеспечить их с помощью выпускаемых ИС конденсатора с малой утечкой. Посколь­
стабилизаторов. Тогда вам придется про­ ку для деления опорного напряжения ис­
ектировать свои собственные схемы ста­ пользуется потенциометр, значение ТКС
билизаторов, используя лучшие из д о­ резистора здесь не столь критично — это
ступных ИС опорных источников (в от­ логометрическое измерение.
ношении стабильности и помех; см., на­ О стальная часть схемы представляет
пример, REF101KM в табл. 6.7). Такая собой простой повторитель, использую­
стабильность (< 1-10 “ 6/°Q намного луч­ щий прецизионный малошумящий усили­
ше, чем ТКС обычных металлопленочных тель ош ибки для сравнения выходного
резисторов (50- 10~ 6/°С ); поэтому вы напряжения от мощного последователь­
должны больше уделять внимания выбо­ н ого п р оход н ого М О П -тр а н зи с то р а .
ру операционных усилителей и пассивных В связи с тем что большой выходной
компонентов, ошибки и дрейфы которых конденсатор обеспечивает основной по­
не ухудшают общих технических данных. люс для компенсации, использован де-
На рис. 6.56 показана полная схема не­ компенсированный операционный усили­
обычного стабилизированного источника тель. Обратите внимание на необычную
питания с низким уровнем помех и малым токоограничивающую схему и обильное
дрейфом. Схема начинается с превосход­ использование «диодов» стабилизации то­
ной ИС REF10KM фирмы Burr-Brown, ка (на самом деле использование полевых
которая гарантирует ТК С лучш е, чем транзисторов с р-п-р-переходом) для по­
1 • 10 ~6/°С и очень низкий уровень шумов лучения рабочего смещ ения. Обратите
(6 мкВ размах, 0 , 1 - 1 0 Гц). Более того, внимание также на применение провод­
это достигается без применения термо­ ников «считывания» напряжения на на­
статического управления, которое позво­ грузке. В прецизионной схеме типа этой
ляет удерживать приповерхностный зене­ большую роль играют пути земли, п о ­
ровский шум на низком уровне. За источ­ скольку, н ап ри м ер, нагрузочны й ток
ником опорного н ап ряж ен и я следует 100 мА, протекающий по одному дюйму
фильтр нижних частот для дальнейшего п р о в о д а к а л и б р а 20, д ает п а д е н и е
снижения уровня шумов. Большое зна­ н ап ряж ен и я 100 мкВ, что составляет
чение емкости конденсатора необходимо ошибку порядка 10-4 на 1В выхода! Пред­
для подавления токового шума опера­ ставленная схема имеет превосходные тех­
ционного усилителя; указанное значение нические характеристики, - ее шумы и
преобразует токовый шум (1,5 nA/VTu дрейф, по крайней мере, в 100 раз меньше,
398 Глава 6

чем приведенные ранее типовые. По дан­ лить себе тратить 3 мА тока покоя в ИС
ным фирмы EVI, которая любезно пре­ 78L05, поскольку это снизило бы срок
доставила нам эту схему, шумы и фон службы батареи до недели!
схемы лежат ниже 1 мкВ, Т К С ниже Решить эту проблему можно либо с по­
1 -1 0 “ 6/°С , вы ходной и м п едан с ниж е мощ ью стаби ли затора на дискретны х
1 мкОм и дрейф менее 1 • 10“ 6 за рабочий компонентах, либо используя одну из ми-
день. кромощных ИС. К счастью, в последние
В следующей главе мы побольше пого­ годы выпускают несколько хороших ИС.
ворим о таких прецизионных и малошу- Одними из лучших являются стабилиза­
мящих схемах. торы серии LP2950 фирмы National - трех­
выводной нерегулируемый стабилизатор
6.22. Микромощные стабилизаторы 5 В, выпускаемый в небольшом транзис­
торном корпусе ТО-92 (LP2950ACZ-5.0),
Как мы уже ранее упоминали, мож но или многовыводной регулируемый стаби­
спроектировать схему с батарейным пи­ лизатор 1,2-30 В (LP2951). Обе версии
танием с очень низким током покоя, по­ имеют ток покоя 75 мкА. Существуют
рядка десятков микроампер. Это как раз И С с ее более низким током покоя -
то, что нужно, чтобы сделать схему, ко­ ICL7663/4 (или МАХ 663/4), регулируемые
торая работала бы в течение нескольких стабилизаторы на обе полярности с током
месяцев или лет от одной маленькой ба­ покоя 4 мкА. В гл. 14 мы рассмотрим
тареи (например, наручные часы или каль­ микромощные стабилизаторы при обсуж­
кулятор). Щ елочной 9-вольтовый тран­ дении схем с батарейным питанием.
зисторный аккумулятор, к примеру, пол­ Как пример того, что можно сделать на
ностью исчерпывает себя после 400 мА-ч дискретных компонентах, на рис. 6.57 мы
работы; следовательно, 50-микроампер- приводим микромощную схему, которую
ная схема будет работать около года можно использовать в электростимулято­
(8800 часов). Если для такой схемы по­ ре сердечной мыш цы, работающ ем от
требуется стабилизированное напряж е­ литиевой батареи. Эта схема преобразует
ние, то вы, очевидно, не можете позво­ входное напряжение в диапазоне от + 5 до

От +3 до +5 В

Рис. 6.57. Микромощный импульсный стабилизатор.


Стабилизаторы напряжения и источники питания 399

+ 3 В (по мере старения батареи) в стаби­ 6.23. Преобразователи напряжения


лизированное напряжение +5,5 В. Этот с переключаемыми конденсаторами
источник имеет ток покоя 1 мкА, обеспе­ (зарядовый насос)
чивает стабилизацию по входу и нагрузке
5% и эффективность преобразования 85% В разд. 6.19 мы рассмотрели импульсные
при полной нагрузке для всего диапазона источники питания, упомянув их стран­
напряжений батареи. Как мы отмечали ную способность вырабатывать выходное
при обсуждении импульсных источников, постоянное напряж ение, большее чем
традиционные линейные источники, ис­ входное или даже противоположной по­
пользующие генератор, удвоитель и пос­ лярности. Там мы упомянули также, что
ледовательный проходной стабилизатор, преобразователи напряжения с переклю­
были бы гораздо менее эффективными, чаемыми конденсаторами могут делать
потому что при более высоких нестаби- то же самое. Что же это такое «переклю­
лизированных напряжениях потери в ста­ чаемые конденсаторы»?
билизаторе возрастают. Схемы с обрат­ На рис. 6.58 показана упрощенная схе­
ным выбросом эффективны как умножи­ ма КМ ОП ИС 7662 фирмы Intersil с рас­
тели напряжения с переменным коэффи­ ширенной вторичной частью (обвязкой).
циентом умножения; они дают чрезвы­ Она имеет внутренний генератор и н е­
чайно высокий КПД и поэтому доста­ сколько ключей КМ ОП; для ее работы
точно привлекательны для использования необходимы два внешних конденсатора.
в микромощных схемах. Если входная пара ключей замкнута (в
На управляемом однопереходном тран­ проводящем состоянии), С, заряжается до
зисторе 2N6028 собран релаксационный С/х; затем во время второго полуцикла С,
генератор. Его анодный вывод не про­ отклю чается от входа и подклю чается
водит ток, пока напряжение на нем не в перевернутом состоянии к выходу. Та­
превысит напряжение на управляющем ким образом, он передает свой заряд на
электроде на величину падения на диоде; С2 (и нагрузку), обеспечивая на выходе
в этот момент он начинает пропускать н ап ряж ени е, равное прим ерно — UBX.
большой ток, разряжая конденсатор. Ре­ С другой стороны, вы можете использо­
зультирующий положительный импульс вать 7662 для ф ормирования напряж е­
на базе Т. «тянет» коллектор Т2 к земле, ния 2 Ubx, организовав схему таким обра­
запуская схему 4098, известную под н а­ зом, что С, будет заряжаться так, как и
званием «одновибратор» (см. разд. 8.20), раньш е, но затем на второй половине
которая генерирует положительный им­ цикла будет подключаться последователь-
пульс постоянной длительности на своем но с 1/вх.
выходе Q. в этой схеме снимает вы­ Такой способ переключаемых конден­
ходное напряжение и «отнимает» часть саторов прост и эффективен; для его реа­
разрядного тока у С,, снижая скорость лизации необходимо всего несколько ком-
нарастания импульса п реобразования
энергии до величины, необходимой для
поддержания требуемого выходного на­
пряжения. Обратите внимание на боль­
шие величины сопротивлений резисторов
во всей схеме. Температурная компенса­
ция в данном случае не тема для разгово­
ра, поскольку схема работает в условиях
постоянной температуры 36,6 °С «пе­
редвижной печи». (Предостережение: ре­
комендуем читателю еще раз заглянуть
в «Юридическую справку» в предисло­ Рис. 6.58. Инвертор напряжения с переключаемыми
вии). конденсаторами. С, и С2— внешние танталовые кон­
денсаторы емкостью 10 мкФ.
400 Глава 6

+5В

+10В
22мкФ
RВЫХ = 200

±Г -10В
22мкФ - р
22мкФ

Рис. 6.59. Выходное напряжение инвертора с пере­ Рис. 6.60. Сдвоенный источник с переключаемы­
ключаемыми конденсаторами под нагрузкой значи­ ми конденсаторами. Аналогичным образом вклю­
тельно уменьшается. чается LT1026,’ но при
~ этом RВЫ
Хх 100 Ом,’ а емкость
конденсаторов всего 1 мкФ.

понентов (индуктивности не нужны). торами с линейны м стабилизатором и


Однако выход схемы не стабилизирован вырабатывает достаточно мощный стаби­
и существенно падает при токах нагрузки лизированный выход с токами нагрузки
больше нескольких миллиампер (рис. до 100 мА (при более низком КПД, разу­
6.59). Кроме того, больш инство таких меется). Схемы серии МАХ232 и схема
КМ ОП-приборов имеют ограниченный LT1080 объединяют источник на пере­
диапазон напряжений питания; для 7662 ключаемых конденсаторах ± 10 В и цифро­
{/х может меняться в диапазоне только вой последовательный порт RS-232C (см.
от 4,5 до 20 В (от 1,5 до 10 В для ее гл. 11), что исключает необходимость в би­
предшественницы 7660). Наконец, в от­ полярном источнике для многих компьютер­
личие от индуктивных повышающих и ин­ ных плат; некоторые ИС из серии МАХ232
вертирующих схем, которые могут гене­ содержат даже встроенные конденсаторы.
рировать любое напряжение, преобразо­ Схема же LTC1043 представляет собой неза­
ватель напряж ения на переключаемых вершенный блок с переключаемыми кон­
конденсаторах может формировать напря­ денсаторами, который можно использовать
жения кратные UM. Несмотря на эти не­ для того, чтобы проделывать всевозможные
достатки, преобразователи на свободных чудеса. К примеру, вы можете использо­
конденсаторах при определенны х о б ­ вать переключаемые конденсаторы для пе­
стоятельствах очень удобны, например, редачи падения напряжения, измеренного
для того чтобы обеспечить питание бипо­ на неудобном потенциале вблизи потенциа­
лярных операционных усилителей или ла земли (например, на резисторе для счи­
последовательного порта (см. гл. 10 и 11) тывания тока при положительном напряже­
на схемной плате, которая имеет питание нии источника), туда, где с ним можно лег­
только +5 В. ко справиться. Документация на LTC1043
Существуют еще несколько интересных содержит 8 страниц с подобными хитроум­
ИС с переключаемыми конденсаторами. ными применениями.
МАХ680 фирмы Maxim — это сдвоенный
источник, который вырабатывает ± 10 В 6.24. Источники стабилизированного
(до 10 мА) от +5 В (рис. 6.60). Похожая постоянного тока
схема LT1026 фирмы LTC формирует вы­
ход ±20 В (до 20 мА) и использует мень­ В разд. 2.06 и 2.14 мы описали несколько
шие емкости (1 мкФ вместо 20 мкФ). способов стабилизации тока с помощью
Схема LT1054 фирмы LTC сочетает пре­ схем, содержащих управляемые напря­
образователь с переключаемыми конденса­ жением источники тока с плавающими или
Стабилизаторы напряжения и источники питания 401

заземленными нагрузками и некоторые


виды токовых зеркал. В разд. 3.06 было
показано, как использовать полевые тран­
зисторы для построения некоторых про­
стых источников тока на «токостабили­
зирующих диодах» (затвор транзистора
соединен с истоком), таких как серия
1N5283. В разд. 4.07 мы показали, как
добиться более высоких технических дан­
ных (во всяком случае, на низкой частоте),
используя для создания источников тока
ОУ. В разд. 6.15 мы упомянули удобную
ИС трехвыводного источника тока LM334.
Вместе с тем часто необходим управляе­
мый источник стабилизир. пост, тока, Рис. 6.61. Измерение тока в шине питания.
который мог бы выдавать ток и напряже­
ние значительной величины и выпускал­
ся в виде законченного прибора. Здесь мы вытекающий по выводу «рег» (50 мкА но-
рассмотрим некоторые успешно применя­ мин.), колеблется в пределах 20% по все­
емые для этих целей схемы. му диапазону рабочих температур и им
Трехвыводные стабилизаторы. В разд. можно пренебречь.
6.18 мы показали, как можно использо­ В давние времена, до появления трех­
вать трехвыводной регулируемый стаби­ выводных регулируемых стабилизаторов,
лизатор для построения превосходного иногда использовали в качестве источни­
простого источника тока. Стабилизатор ков тока 5-вольтовые нерегулируемые ста­
типа 317 поддерживает между выходным билизаторы (например 7805) в похожей
выводом и выводом «рег» постоянное на­ схеме (заменяя вывод «рег» выводом «зем­
пряжение 1,25 В (ширина запрещенной ля»). Это плохая схема, потому что при
зоны); включая резистор между этими малых выходных токах ток покоя стаби­
выводами, можно получить двухвыводной лизатора вносит большую ошибку, а при
прибор со стабильным постоянным током больших токах падение напряжения 5 В
(рис. 6.38), используемый для отдачи или на резисторе установки тока приводит к
отвода тока. Поскольку перепад на самом лишнему рассеянию мощности.
стабилизаторе составляет около 2 В, ха­ Измерение тока в шине питания. П ро­
рактеристики начинают ухудшаться при стая схема с хорошими параметрами по­
напряжении на схеме менее 3 В. лучается из традиционного последователь­
Этот тип источника тока удобен для ного проходного стабилизатора со съемом
средних и больших токов: LM317 имеет тока на входе проходного транзистора
максимальный ток 1,5 А и может работать (рис. 6.61). R2~ резистор для съема тока,
с падением напряжения до 37 В. Ее вы­ желательно с малой температурной зави­
соковольтный родственник LM317HVK симостью. Для очень больших токов или
может выдержать падение 57 В. Выпус­ прецизионной точности следует исполь­
каются более сильноточные версии, на­ зовать четырехпроводной резистор, спе­
пример, LM338 (5 А) и LM396 (10 А), но циально предназначенный для измерений
они рассчитаны на более низкие напря­ тока — измерительные проводники под­
жения. Трехвыводные стабилизаторы не ключены в самом резисторе. В этом слу­
работают как источники тока при токах чае снятое напряжение не зависит от со­
ниже 10 мА, наихудший случай тока по­ противления соединения с токонесущи­
коя. Обратите внимание, однако, что ток ми проводниками, которые на схеме для
не является источником ош ибки тока, ясности показаны жирными линиями.
поскольку он протекает от входного вы­ В этой схеме необходимо использовать
вода к выходному; гораздо меньший ток, операционный усилитель, который имеет
402 Глава 6

диапазон входных синфазных сигналов ки источника тока, связанные с током


вплоть до положительного напряжения базы; базовый ток должен проходить либо
питания (307, 355 и 441 обладают этим и через нагрузку, и через усилитель счи­
достоинством), если, конечно, вы не пи­ тывания, либо не должен проходить ни
таете операционный усилитель от еще бо­ через то, ни через другое. Для того что­
лее положительного вспомогательного бы удовлетворить этому требованию, не­
напряжения. МОП-транзистор в этой схе­ обходимо «подвесить» нагрузку или источ­
ме можно было бы заменить на проход­ ник питания, по крайней мере, к напря­
ной р —п —р-транзистор, однако, посколь­ жению, равному падению напряжения на
ку выходной ток будет тогда включать ток резисторе для измерения тока. На рис.
базы, придется использовать соединение 6.62 показаны две схемы, использующие
Дарлингтона для минимизации ошибки. плавающую нагрузку.
Обратите внимание на то, что вместо Первая схема —это обычная последова­
/^-канального транзистора можно исполь­ тельная проходная схема, в которой сиг­
зовать «-канальный выходной транзистор нал ошибки получается из падения напря­
(подключенный как повторитель), если жения на небольшом резисторе, включен­
поменять подключение на входе операци­ ном на возвратном пути от нагрузки к
онного усилителя. Однако в этом слу­ земле. Сильноточный путь здесь также от­
чае источник тока будет иметь нежелатель­ мечен жирной линией. В данном случае
но низкий выходной импеданс на часто­ соединение Дарлингтона используется не
тах, близких к частоте f T контура опера­ для того, чтобы избежать ошибки, свя­
ционного усилителя, поскольку выход занной с базовым током (измеряется ре­
является по существу истоковым повто­ альный ток нагрузки), а чтобы снизить ток
рителем. При проектировании источни­ управления до нескольких миллиампер,
ков тока часто допускают подобную ошиб­ поэтому в качестве усилителя ошибки мож­
ку, так как анализ по постоянному току но использовать обы чны й оп ерац и он ­
показывает хорошие параметры. ный усилитель. Измерительный резистор
Измерение тока в возвратной цепи. Хо­ должен быть прецизионным мощным ре­
рошим способом построения прецизион­ зистором с малой температурной зави­
ного источника тока является считывание симостью и желательно четырехпровод­
напряжения на прецизионном резисторе, ным. Во второй схеме транзистор регули­
включенном последовательно с нагруз­ рования Т2 находится в возвратной цепи
кой. В этом случае легче исключить ошиб­ земли сильноточного источника питания.

а б
Рис. 6.62. Измерение тока в возвратной цепи.
Стабилизаторы напряжения и источники питания 403

Рис. 6.63. Источники тока для заземленных нагрузок использующие плавающие высоковольтные источ-
ники питания.

Преимущество такого расположения со­ численных в табл. 4.4. Общий вывод силь­
стоит в том, что коллектор транзистора ноточного источника «плавает» относи­
подключен к земле, поэтому можно не тельно схемной земли. Большое значение
беспокоиться относительно изоляции кор­ имеет то, что усилитель ошибки (или, по
пуса транзистора от теплоотвода. крайней мере, выход его буфера) питает­
В обеих схемах Аизм выбирается из рас­ ся от плавающего источника и токи базы
чета падения на нем около вольта при ти­ возвращ аю тся через Лизм. Понадобится
повых рабочих токах; значение резисто­ дополнительный слаботочный источник
ра - это компромисс между ош ибками с зазем ленны м общ им выводом, если
смещения на входе операционного уси­ в этом же приборе будут использованы
лителя, с одной стороны, и сочетанием другие операционные усилители и т. п.
уменьшенного размаха источника тока и Отрицательный источник опорного н а­
увеличенной мощностью рассеяния, с дру­ пряжения (относительно схемной земли)
гой. Если схема предназначается для ра­ управляет выходным током. Обратите
боты в большом диапазоне выходных то­ внимание на полярность на входах усили­
ков, то Лизм, по-видимому, целесообраз­ теля ошибки.
но выполнить в виде набора прецизионных На второй схеме показано использо­
мощных резисторов с выбором нужного вание второго маломощного источника
резистора с помощью переключателя. для случая, когда в качестве усилителя
Заземленная нагрузка. Если важно, что­ ошибки используется обычный слаботоч­
бы нагрузка была подключена к земле, то ный операционный усилитель. Тх - это
можно использовать схему с плавающим внешний проходной транзистор, который
источником. На рис. 6.63 показано два может быть парой Д арли н гтон а (или
примера. В первой схеме операционный может быть М О П -тран зистором ), п о ­
усилитель, изображ енны й необычным скольку базовый ток возвращается через
образом, представляет собой усилитель нагрузку, а не через измерительный ре­
ошибки с сильноточным буферным вы­ зистор. Усилитель ошибки питается в дан­
ходом; им может быть простой 723 (для ном случае от того же расщепленного ис­
токов до 150 мА) или один из сильно- точника с заземленным общим выводом,
точных операционных усилителей, пере­ от которого питаются все остальные схе­
404 Глава 6

Рис. 6.64. Коммерческие источники питания различных форм и размеров, включая герметизированные
модули, открытые блоки и полностью закрытые коробки (с разрешения фирмы Computer Products).

мы прибора. Эта схема очень удобна как нием от сети мы советовали подавить са­
простой стендовый источник тока со молюбие и купить коммерческий источник
встроенным слаботочным расщепленным питания.
источником и внешним сильноточным Экономические реальности жизни, од­
источником. В каждом конкретном слу­ нако, играют большую роль, поэтому час­
чае вы можете подобрать напряж ение то наилучшим подходом будет использо­
сильноточного источника и его нагрузоч­ вать один из коммерческих источников
ную способность по току. питания, которые продаются такими фир­
мам и, к ак A C D C , A copian, C om puter
6.25. Коммерческие модули Products, Lambda, Power-One и еще сотни
источников питания других. Они предлагают как импульсные,
так и линейные источники, выпускаемые
Всю эту главу мы посвятили тому, как в четырех различных видах (рис. 6.64).
проектировать свои собственные источни­ 1. Модульные герметизированные ис­
ки питания, безотчетно ориентируя вас на точники. Это маломощные источники, ча­
самые лучшие схемы. И только при об­ сто сдвоенные (+15) или строенные (+ 5,
суждении импульсных источников с пита­ ±15), упакованные в герметизированные
Стабилизаторы напряжения и источники питания 405

модули размерами обычно 2,5 х 3,5 дюй­ быть как линейными, так и импульсны­
ма и толщиной 1 дюйм. Больш инство ми. Диапазон мощности полностью зак­
обычных корпусов имеет твердые прово­ рытых линейных источников составляет
лочные выводы снизу, так что вы можете 15-750 Вт, импульсных 25-1500 Вт.
монтировать их непосредственно на схем­ 4. Стоечные съемные источники пита­
ной плате; их можно закрепить на панели ния. Это - известные черные пластмас­
или вставить в соединитель. Они выпус­ совые коробки, которые поставляются с
каются также с крепежным полосковым небольшими электронными устройствами
выводом с одной стороны для установки широкого потребления и предназначены для
на шасси. Типовой линейный строенный непосредственного включения в стойку че­
источник дает +5 В, 0,5 А и ± 15 В, 0,1 А рез врубное соединение. Они выпускают­
и стоит около 100 долл. в малых парти­ ся в трех видах: а) только понижающий
ях. Мощность линейных модульных ис­ тр ан сф о р м ато р п ер ем ен н о го то ка;
точников составляет обычно от 1 до 10 Вт, б) нестабилизированный источник посто­
импульсных - от 15 до 25 Вт. я н н о го то к а и в) п о л н ы й с т а б и л и ­
2. Открытые источники питания. Они зированный источник постоянного тока;
состоят из металлического шасси, на ко­ последние могут быть как линейными, так
тором закрепляется схемная плата, транс­ и импульсными. Например, фирма Ault
форматор и мощные транзисторы, все выпускает прекрасную серию сдвоенных
полностью открыто. И сточники пред­ (+12 В или +15 В) и строенных (+ 5 и
назначены для установки в устройства ± 12 В или ±15 В) линейных стабилизиро­
больших размеров. Выпускаются они в ванных съемных источников. Они позво­
широком диапазоне напряжений и токов ляют избавиться от всех работ, связанных с
и в виде сдвоенных и строенных блоков, вводом в ваш прибор сетевого питания, и
а также в виде источников на одно н а­ сделать его легким и маленьким. Некото­
пряжение. Например, распространенный рые из нас думают, что эти источники
строенный открытый линейный блок дает слишком популярны, ведь, когда их мно­
+5 В, 3 А и +15 В, 0,8 А и стоит 75 долл. го, необходимо оборудовать специальный
в малых партиях. Открытые источники ввод в ваш дом! Некоторые «настольные»
больше герметизированных блоков и все­ модели имеют два шнура — для входного
гда крепятся к шасси. Мощность откры­ сетевого и выходного постоянного напря­
тых линейных источников составляет 10- жений. Некоторые из импульсных блоков
200 Вт, импульсных 20-400 Вт. Откры­ работают в диапазоне сетевого напряжения
тые источники нижнего края диапазона от 95 до 252 В, что очень удобно для пере­
мощности могут иметь компоненты, мон­ движных приборов. Мы побольше расска­
тируемые прямо на схемной плате без жем о съемных конструкциях в разд. 14.03,
металлического каркаса. Как и для гер­ когда будем обсуждать маломощные схемы.
метизированных источников, предполага­
ется, что вы сами заботитесь о выключа­ СХЕМЫ, НЕ ТРЕБУЮЩИЕ ПОЯСНЕНИЙ
телях, фильтрах и предохранителях для
сетевого напряжения. 6.26. Удачные схемы
3. Полностью закры ты е источники.
Источники этого типа имеют полностью На рис. 6.65 приведено несколько удач­
закры ваю щ ий м еталлич еский кожух, ных схем, взятых главным образом из ка­
обычно перфорированный для охлажде­ талогов фирм-изготовителей.
ния, с выступающими мощными транзи­
сторами. Их можно устанавливать снару­ 6.27. Плохие схемы
жи, поскольку полностью закрывающий
кожух защищает от прикосновения; вы На рис. 6.66 показано несколько схем,
можете устанавливать их и внутри прибо­ которые, наверняка, не будут работать.
ра, если пожелаете. Они выпускаются с Проанализируйте их и вы сможете в даль­
одним и несколькими выходами и могут нейшем избежать подобных ловушек.
Удачные схемы

LM 350 и др. LM 350

Рис. 6.65. а — зарядное устройство для аккумуляторной батареи 12 В; б — регулируемый стабилизирован­


ный биполярный источник опорного напряжения; в - схема со следящим предварительным стабилизато­
ром; г - автоматический регулятор света для лампы накаливания; д - прецизионный мощный источник
напряжения;
+15

1N4001 1N4001

Положительное Отрицательное

Вход
317
350 Per.

Выход

11 кОм
05 Ом Г
J 500 @
Ом

Рис. 6.65 (продолжение).


г - импульсная лампа (из технических данных NSC 317); ж - источник опорного напряжения ±5 В на основе
одного источника опорного напряжения на 2 выхода; з - трехвыводные стабилизаторы с повышенным по­
давлением пульсаций (диоды защищают от коротких замыканий входа и выхода); и — мощный источник тока;
M J2955

+ 12B 6 32
+15B 633
470 мкГн
/ w ^ L +5 В
Вых.
М АХ631 "2 0 м А

100 мкф

I Земля

Рис. 6.65 (продолжение).


к — дифференциальный повторитель с диапазоном синфазного сигнала +100 В; л — источник постоянного
напряжения/постоянного тока; м — «самый простой в мире» преобразователь постоянного тока; и — порта­
тивный источник опорного напряжения; о — усилитель токового шунта: ОУ типа модулятор—демодулятор
использует в качестве резистора съема тока возвратный провод питания калибра 20 длиной 1,2 дюйма; напря­
жение питания ОУ —от +5 до +15 В; п —схема контроля тока; р —сильноточный биполярный источник тока.
Негодны е схемы

Вход пост,
тока .+ 5 В
-1 0 В Выход
5,6 В
стабили­
трон

8 В (эф ф .) 20 В (эф ф .)

>+15

) -1 5

10 В (эфф.)

4,7 кОм
2 N 3 05 5 +5 В
пост.

5,6 В
'.А7 мкФ
стаби­
литрон

Г О /

ri

Рис. 6.66. а - простой источник стабилизированного напряжения; 6 - источник +5 В; в - источник +5 В;


г - расщепленный источник ±15 В; д —источник +5 В; е —стабилизатор на +15 В; ж - стабилизаторе ограничением
тока; з - схема автоматического шунтирования источника питания с тиристорной защитой.
Стабилизаторы напряжения и источники питания 411

ДОПОЛНИТЕЛЬНЫЕ УПРАЖНЕНИЯ регулируемый стабилизатор положительного напряже­


ния 317; е) дискретные компоненты, источник опор­
1. Спроектируйте стабилизированный источник с на­ ного напряжения на стабилитроне и обратную связь.
пряжением точно +10,0 В и током до 10 мА, используя Докажите, что величины компонентов выбраны правиль­
ИС 723. В вашем распоряжении имеется трансформатор но; для а), в) и е) обеспечьте ограничение тока на уров­
на 15 В (эфф.), 100 мА; диоды в любом количестве, раз­ не 100 мА.
личные конденсаторы, схема 723, резисторы и подстро- 3. Спроектируйте полный источник питания на
ечный потенциометр на 1 кОм. Выберите резисторы так, + 5 В, 500 мА для цифровой логической схемы. Начните
чтобы при их стандартных значениях (5%) диапазона под­ с начала (от настенной розетки 115В переменного на­
стройки потенциометра хватило бы для компенсации пряжения), определяя такие вещи, как напряжение и но­
производственного разброса источника опорного напря­ минальный ток трансформатора, величины конденсато­
жения (от 6,80 до 7,50 В). ров и т. п. Для того чтобы облегчить себе работу, ис­
2. Спроектируйте стабилизатор напряжения на + 5 В, пользуйте трехвыводной стабилизатор 7805. Не
50 мА для нестабилизированного входа + 10 В, используя расточайте сверх меры емкости, но сделайте так, чтобы
следующие компоненты: а) стабилитрон и эмиттерный ваша схема выдерживала 10%-ный разброс всех парамет­
повторитель; б) трехвыводной стабилизатор 7805; в) ста­ ров (сетевого напряжения, допуски параметров транс­
билизатор 723; г) стабилизатор 723 и внешний проходной форматора и конденсаторов и т. п.). Когда закончите,
я/ш-транзистор; используйте схему ограничения тока с об­ рассчитайте потери в стабилизаторе в худшем случае.
ратным наклоном характеристики, настроенную на 100 мА Затем, применив внешний проходной транзистор, мо­
(граничное значение тока при полном выходном напряже­ дифицируйте схему для обеспечения нагрузочной способ­
нии) и ток короткого замыкания 25 мА; д) трехвыводной ности 2 А. Встройте токсюграничиваюшую схему на 3 А.
ГЛАВА 7

ПРЕЦИЗИОННЫЕ СХЕМЫ
И МАЛОШУМНАЯ АППАРАТУРА

В предыдущих главах мы рассмотрели 7.01. Соотношение точности


многие аспекты проектирования анало­ и динамического диапазона
говых схем, включая свойства пассивных
элементов и транзисторов, ПТ и ОУ, об­ Понятия точность и динамический диапазон
ратную связь, а также рассмотрели ряд легко спутать, поскольку иногда одна и
применений этих устройств и методов схе­ та же аппаратура используется для дости­
мотехники. Но в этих рассуждениях не жения и того и другого. Может быть, раз­
ставился вопрос о лучшем из возможных ницу можно лучше всего пояснить на ряде
вариантов, например, о миним изации примеров: у 5-разрядного цифрового мно­
ошибок усилителя (нелинейность, дрейф гошкального прибора - прецизионная
и т. д.), или об усилении слабого сигнала точность; измерения напряжения им де­
с наименьшим искажением за счет «шума» лаются с точностью 0,01% и выше. Такое
усилителя. Эти вопросы во многих слу­ устройство также имеет широкий дина­
чаях составляют существо дела, и поэто­ мический диапазон — от милливольт до
му они являются важной частью искусст­ вольт на одной и той же шкале. Точный
ва схемотехники. Поэтому в данной гла­ десятичный усилитель (например, с ко­
ве мы рассмотрим методы проектирования эффициентом усиления, выбираемым из
прецизионных схем и проблемы шумов ряда значения 1, 10, 100) и прецизион­
усилителя. При первом чтении всю эту ный опорный источник напряжения мо­
главу можно пропустить, за исключени­ гут иметь достаточную точность, но не
ем разд. 7.11, в котором вводится поня­ обязательно широкий динамический ди­
тие «шум усилителя». Для понимания сле­ апазон. Примером устройства с широким
дующих глав материал данной главы не­ динамическим диапазоном, но скромной
существен. точностью служит шестидекадный лога­
рифмический усилитель (ЛУ), построен­
РАЗРАБОТКА ный на тщательно подогнанных ОУ, но с
ПРЕЦИЗИОННОЙ АППАРАТУРЫ применением элементов, имеющих точ­
НА ОПЕРАЦИОННЫХ УСИЛИТЕЛЯХ ность всего лишь 5%; даже при использо­
вании более точных элементов ЛУ может
При измерении и управлении часто нуж­ иметь ограниченную точность за счет не­
ны высокопрецизионные схемы. Схемы соответствия при крайних значениях тока
управления должны быть точными, устой­ характеристик используемого для преоб­
чивыми относительно времени и темпе­ разования транзисторного перехода лога­
ратуры, а их поведение - предсказуемым. рифмической зависимости. Другой при­
Так же и ценность измерительного при­ мер устройства с широким динамическим
бора зависит от его точности и с т а ­ диапазоном (диапазон входного тока бо­
бильности. Почти во всех областях элек­ лее чем 10 000:1) при весьма скромной
троники существует желание сделать все точности 1% — это кулонометр, описан­
более точно — можно назвать это стрем­ ный в разд. 9.26. Вначале он был спро­
лением к совершенству. Если вам и не ектирован для определения суммарного
нужна такая высочайшая точность, при­ заряда электрохимического элемента —ве­
ятно иметь точные приборы, чтобы до личины, которую достаточно знать с точ­
конца понимать, что происходит. ностью 5%, но которая образуется в ре­
Прецизионные схемы и малошумные аппаратуры 413

зультате действия тока, изменяющегося в Такое устройство позволяет заф икси­


широком диапазоне. Общее свойство ус­ ровать некоторое значение входного сиг­
тройств с широким динамическим диа­ нала и усиливать его последующие откло­
пазоном это то, что входное смещение нения от этого уровня с коэффициентом,
должно быть тщательно отрегулировано точно равным 10, 100 или 1000. Это ока­
для обеспечения пропорциональности при жется весьма удобным в эксперименте,
уровне сигнала, близком к нулю. При при котором измеряется малое отклоне­
проектировании прецизионной аппара­ ние какой-нибудь величины (например,
туры это также необходимо, но там для светопроницаемости или поглощения ра­
удержания суммарной погрешности в рам­ диочастоты) при изменении условий экс­
ках так называемого бюджета погреш ­ перимента. Обычно трудно точно изме­
ности требуются также прецизионны е рить малое изменение большого сигнала
элементы, устойчивые генераторы опор­ постоянного тока вследствие дрейфа и
ных напряжений, и внимание ко всем воз­ неустойчивости усилителя. В таком слу­
можным источникам погрешностей. чае нужна схема с предельной прецизи­
онностью и устойчивостью. Мы опишем
методы и ошибки, которые мы допуска­
7.02. Бюджет погрешностей схемы ли при проектировании этой конкретной
схемы, в рамках общего описания про­
Несколько слов о бюджете погрешности. цесса прецизионного проектирования и
Начинающие разработчики часто попа­ таким образом безболезненно изложим то,
дают в ловушку, считая, что несколько что иначе могло бы стать утомительным
стратегически правильно расположенных поучением. Одно предварительное заме­
прецизионных элементов дадут устройство чание: заманчивой альтернативой к этой
с прецизионными параметрами. В каких- чисто аналоговой схеме могла бы стать
то редких случаях, может быть, так и по­ цифровая аппаратура. (В следующих гла­
лучится. Но даже схема, битком набитая вах следите за захватывающими открыти­
резисторами 0,01% и дорогостоящ ими ями!) Проектируемая схема изображена
ОУ, не оправдывает ваших ожиданий, на рис. 7.1.
если на каком-то участке схемы смеще­ Описание схемы. Основа схемы — по­
ние выходного тока, умноженное на со­ вторитель (С/,), подключенный ко входу
противление источника, даст погрешность неинвентирующего усилителя с переклю­
смещения напряжения, например, 10 мВ. чаемым коэффициентом усиления (U2),
Подобного рода погрешности встречают­ выход которого смешается сигналом, при­
ся почти в любой схеме, и важно их выя­ ложенным к его неинвертирующему вхо­
вить, хотя бы для того, чтобы найти мес­ ду. Транзисторы Т и Т2 — это ПТ, они
то, где требуется устройство с лучшими применяю тся как простые аналоговые
параметрами или где нужно изменить схе­ ключи; Тг — Т5 используются для форми­
му. Такой подсчет бюджета погрешности рования необходимых уровней управления
рационализирует проектирование, во мно­ ключами от входного логического сигна­
гих случаях позволяет обойтись недороги­ ла. Транзисторы Тх — Ть можно заменить
ми элементами и точно оценить характе­ на реле или, если угодно, на выключате­
ристики схемы. ли. Можете представить их себе как про­
стые однополю сные переключатели на
7.03. Пример схемы: одно направление ( 1П 1Н).
прецизионный усилитель В том случае когда логический входной
с автоматическим выбором нуля сигнал имеет высокий уровень («хране­
ние»), ключи замкнуты и £/3 заряжает
Для иллюстрации предшествующих рас- аналоговый конденсатор «памяти» (С,)
суждений мы спроектировали схему пре­ до уровня, необходимого для поддержа­
цизионного декадного усилителя с авто­ ния нулевого выходного сигнала. При
матическим поиском начального уровня. этом схема не делает «никаких попыток»
414 Глава 7

100,0 кОм
0,01% 10,0 кОм

Рис. 7.1. Лабораторный усилитель постоянного тока с автоподстройкой нуля.

отслеживать быстрые изменения выходного т. е. постоянная времени около двух не­


си гн ал а, п о ско л ьку в п р и м ен ен и я х , дель!); при этом ток утечки компенсиру­
для которых предназначена эта схема, все ется небольшим зарядным током через /?,,,
сигналы - постоянного тока, и некото­ пропорциональны м напряжению на С,
рое сглаживание является желательным (б). Вместо одинарного ПТ-ключа при­
свойством. Когда ключ разм ы кается, менены два ключа, которые соединены
напряжение на конденсаторе фиксируется, последовательно в «защищенное от утеч­
в результате чего выходной сигнал оказы­ ки» устройство. Небольшой ток утечки Т2
вается пропорциональным последующему в положении «выкл» проходит на землю
отклонению входного сигнала. через R2}, поддерживая потенциал на всех
Перед дальнейшим детальным объясне­ выводах Т{ в пределах милливольт по
нием принципов работы данной прецизи­ отношению к земле. Так как нет сколько-
онной схемы следует остановиться на ее нибудь заметного перепада напряжений
некоторых дополнительных особенностях на Т{, то нет и сколько-нибудь заметной
(a) U4 включен в схему первого порядка утечки! (Подобные приемы см. в разд.
компенсации тока утечки конденсатора 4.15 и на рис. 4.50.) (в). Запоминаемое на­
С,; конденсатор имеет тенденцию мед­ пряжение с выхода ослабляется делителем
ленно разряжаться через собственное со­ напряжения на резисторах R t, —/?|4 в соот­
противление утечки (минимум 100 ТОм. ветствии с установленным коэффициен­
Прецизионные схемы и малошумные аппаратуры 415

том усиления. Это делается, чтобы избе­ 2. Усилительный каскад 02.


жать трудностей с динамическим диапа­ Погрешности напряжения, приведенные ко входу:
Температура 1,2 мкВ/4”С
зоном и точностью Uy так как ошибки Время 1,0 мкВ/мес
дрейфа в схеме, запоминающей началь­ Источник питания 0,3 мкВ/100 мВ из­
ный уровень, не усиливаются на U2 (под­ менения
робности см. далее). Токовый дрейф отклоне­
ния смешения 1,6 мкВ/4°С/1 кОм
Нагрев током нагрузки 0,3 мкВ при полной
шкале (/?и > 10 кОм)
7.04. «Бюджет погрешностей»
при проектировании 3. Усилитель хранения Uy
прецизионной схемы Погрешности напряжения, приведенные в выходу:
Температурный коэффициент
сдвига 60мкВ/4°С
Для каждого вида схемной погрешнос­ Источник питания 10 мкВ/100 мВ из­
ти и соответствующей стратегии проекти­ менения
рования мы отведем несколько парагра­ Потери в конденсаторе
фов общей дискуссии, сопроводив их ил­ (см. бюджет тока) 100 мкВ/мин
Прохождение заряда 10 мкВ
люстрацией предыдущей схемы. Схемные Погрешности тока, протекающего через Ct (нужны
погрешности разделяются на следующие для приведенного выше бюджета погрешности по
категории: а) погрешности элементов вне­ напряжению):
шних цепей; б) погрешности ОУ или уси­ Утечка конденсатора
лителей, связанные с входными схемами; максимум (нескомпенси-
рованная) 100 пА
в) погрешности ОУ, связанные с выход­ типичная (компенсиро­
ными схемами. Примерами таких катего­ ванная) 10 пА
рий являются соответственно допуски ре­ Входной ток U} 0,2 пА
зисторов, сдвиг входного напряжения и Сдвиг напряжения U2 и
погрешности, связанные с конечной ско­ иг/*п 1,0 пА
Утечка ПТ-ключа в состоянии
ростью нарастания. «выкл» 0,5 пА
Д авай те п о д с ч и т ае м н аш бю дж ет Утечка по печатной плате 5,0 пА
погрешности. В его основе лежит жела­
ние удержать погрешность, приведенную Смысл различных «статей» этого бюд­
ко входу, в пределах 10 мкВ, дрейф вы ­ жета будет выясняться по мере описания
хода - ниже 1 мВ в 10 мин и точность возможностей для выбора, возникающих
коэффициента усиления — около 0,01%. при проектировании этой схемы. Будем
Как и в любом бюджете, отдельные со­ следовать порядку перечисленных ранее
ставляющие получаются в процессе дос­ категорий погреш ностей: ком поненты
тижения компромисса между тем, что цепей, приведенные ко входу погрешно­
должно быть сделано и имеющейся тех­ сти входного усилителя, погрешности вы­
нологией. В некотором смысле бюджет ходного усилителя.
погрешности - это результат проектиро­
вания, а не его исходный пункт. Нам тем 7.05. Погрешности внешних цепей
не менее удобней иметь его сейчас.
Степень точности источников опорного
напряжения, источников тока, коэффици­
Бюджет погрешности (наихудшие значения)
ентов усиления усилителей и т. д. зависит
. Буферный усилитель Ur от точности и стабильности резисторов,
Погрешности напряжения, приведенные ко входу: которые применяются во внешних цепях.
Температура 1,2мкВ/4°С Даже если прецизионность прямо не тре­
Время 1.0 мкВ/мес буется, точность элементов все равно мо­
Источник питания 0,3 мкВ/100 мВ из­ жет дать значительный эффект, например,
менения
Ток смешения х Ли. 2.0 мкВ/ l кОм Ли в подавлении синфазных помех в диф ­
Нагрев от тока нагрузки 0,3 мкВ на полной ференциальном усилителе, собранном на
шкале 10 В ОУ (см. разд. 4.09), где отнош ения со­
416 Глава 7

противлении двух пар резисторов долж­ цифрового омметра) для работы в пре­
ны быть точно согласованы. Точность и цизионных цепях углеродистые резисто­
линейность интеграторов и генераторов ры, которые окажутся в пределах 1% от
пилообразного напряж ения зависят от нужного номинального значения, а нуж­
свойств применяемых конденсаторов, рав­ но взять 1%-ный резистор (или еще более
но как и характеристики фильтров, кон­ точный), рассчитанный как на начальную
туров настройки и т. д. Как мы увидим точность, так и на долговременную ста­
вскоре, в схеме существуют такие цепи, бильность. Для исключительно высокой
где точность значений компонент являет­ точности следует применять ультрапре-
ся критическим моментом, а есть и такие цизионные металлопленочные резисторы,
цепи, где она едва ли играет роль. такие как 5023Z фирмы Мерсо (5 • 10 “ 6/°С
Элементы обычно специфицированы по и 0,025%) или проволочные резисторы,
начальной точности, а также по измене­ выпускаемые с допуском 0,01 %. Допол­
нию значения параметров во времени нительную информацию о прецизионных
(стабильность) и с температурой. Есть резисторах см. в приложении Г.
и дополнительные спецификации по коэф­ «Нуль»-усилитель: погрешности элемен­
фициенту напряжения (нелинейность) и тов. В описываемой схеме (рис. 7.1) ре­
необычным эффектам, таким как «па­ зисторы R} — R9 с допуском 0,01%, при­
мять» и диэлектрическое поглощение (для мененные в цепи, устанавливающей ко­
конденсаторов). Полная спецификация эффициент усиления, задают его очень
включает также эффекты от циклических точно. Как мы увидим далее, значение
температурных изменений и пайки, уда­ ^вы би рается путем компромисса, так как
ров и вибраций, кратковременных пере­ малые его значения уменьшают погреш­
грузок и влажности, полученные при точ­ ность от тока сдвига U2, но увеличивают
но определенных условиях измерений. нагрев и тепловой дрейф Ur Когда зада­
У элементов с лучшей исходной точнос­ но R}, приходится усложнять цепь обрат­
тью, как правило, остальные параметры ной связи для того, чтобы значения рези­
также соответственно лучше, это делает­ сторов были меньше 301 кОм — наиболь­
ся с целью поднять общую стабильность шего значения сопротивления доступных
на уровень, сравнимый с уровнем исход­ прецизионных резисторов с допуском 1%.
ной точности. Общая погрешность, по­ Этот прием обсуждался в разд. 4.19. За­
рождаемая остальными эффектами, тем не метьте, что резисторы с допуском 1% при­
менее может превзойти указанный началь­ менены также в цепи аттенюатора началь­
ный допуск. Будьте бдительны! ного отклонения (R,, — /?14); точность здесь
Вот пример. Металлопленочный резис­ несущественна, а металлопленочные ре­
тор RN55C с допуском 1% имеет сле­ зисторы взяты только из-за их хорошей
дующие паспортные данные: температур­ стабильности.
ный коэффициент 5 10~5/°С в диапазоне Как показывает бюджет погрешности,
от — 55 до + 175°С; коэф ф ициент ста­ в этой схеме наибольшую погрешность
бильности по отношению к циклическим дает утечка конденсатора хранения Сх.
изменениям температуры и нагрузки, а Конденсаторы, предназначенные для ра­
также к пайке 0,25%, к ударам и вибра­ боты с малыми утечками, специфициру­
ции 0,1%, к влажности 0,5%. Для срав­ ются по утечке —иногда в виде сопротив­
нения: у композитно-углеродистого рези­ ления утечки, иногда в виде постоянной
стора (фирма Allen-Bradley, тип СВ) эти времени (мегаом х микрофарада). В дан­
параметры таковы: температурный коэф ­ ной схеме С, должен иметь значение не
фициент 3,3% в диапазоне от 25 до 85 °С, меньше по крайней мере нескольких мик­
пайка и циклическая нагрузка — соответ­ рофарад, чтобы была мала скорость заря­
ственно + 4% и - 6%, удар и вибрация да от токов погрешности других элемен­
±2% , влажность 6%. Из этих специфи­ тов (см. бюджет). В этом диапазоне ем­
каций становится очевидным, что нельзя костей наименьш ей утечкой обладают
просто отобрать (с помощ ью точного полистиреновые, поликарбонатные и по-
Прецизионные схемы и малошумные аппаратуры 417

лисульфоновые конденсаторы. Выбран­ Одним из таких источников погрешно­


ный нами конденсатор имеет утечку по сти в любой схеме с ПТ-ключами являет­
спецификации не более 1 ООО ООО мегаом х ся перенос заряда с управляющего затвора
х микрофарад, т. е. параллельное сопро­ в несущий сигнал канал: переходные про­
тивление утечки составляет не менее цессы с затвора через емкостную связь
100000 МОм. Но даже при этом ток утеч­ передаются на сток и исток. Как мы от­
ки при полном вых. напряжении (10 В) мечаем в гл. 3, суммарный переданный
будет 100 пА; это соответствует скорости заряд не зависит от времени переходно­
падения напряжения на выходе около го процесса, а определяется лиш ь разма­
1 мВ/мин — составляющая погрешности, хом напряжения на затворе и емкостью
намного превышающая все остальные. перехода затв о р -к ан ал : Д Q = C3KAUy
Поэтому мы и добавили описанную выше В данной схеме перенос заряда приво­
схему компенсации тока утечки. Мы име­ дит к погрешности напряжения автопод­
ем право предположить, что действи­ стройки нуля, поскольку заряд преобра­
тельная утечка может быть таким образом зуется в напряжение на запоминающем
уменьшена до 0,1 от значения, указанно­ конденсаторе С,. Эту погрешность легко
го в паспорте конденсатора (на самом деле оценить.
можно добиться намного большего улуч­ В паспорте на ПТ 3N156 заданы макси­
шения). Большой стабильности от схемы мальные значения емкостей Сзс (затвор-
компенсации утечки не требуется, поэто­ сток) и Сзи (затвор—канал, в основном
му наши требования здесь скромны. Как по отношению к истоку), соответственно
мы увидим при обсуждении влияния сдви­ равные 1,3 и 5 пФ. При этом перепад на­
гов напряжения, значение Л|5 намеренно пряжения на затворе в 15 В вызовет пе­
выбирается большим, чтобы сдвиг напря­ ренос заряда, равный 75 пК л, что со ­
жения и ъ не создавал заметных погреш­ ответствует скачку напряж ения Д Uc —
ностей по току. = Д 0/С , = 7,5 мкВ на конденсаторе С,,
Говоря об ошибках, порождаемых вне­ имеющем емкость 10 мкФ. Это в пре­
шними по отношению к самим усилите­ делах наш его бю джета погреш ностей;
лям элементами, следует отметить, что фактически мы скорее всего даже пере­
утечка у ПТ-ключа обычно лежит в диа­ оценили данный эффект, так как вклю­
пазоне 1 нА - значение для данной схе­ чили в расчет не только емкость стока,
мы совершенно неприемлемое. Изящный но и ем кость истока, в то время как
и действенны й метод борьбы с этим на каком -то этапе переклю чения затво­
состоит в применении двух последова­ ра канал разрывается, отсекая исток от
тельно соединенных ПТ, где утечка Тг стока.
создает на Тх напряжение лишь в 1 мВ,
а утечкой в суммирующей точке (1} мож­
но пренебречь. Этот метод иногда исполь­ 7.06. Входные погрешности усилителя
зуется в схемах интеграторов, (см. разд.
4.19). Мы также использовали его в усо­ Отклонения входных характеристик ОУ
вершенствованной схеме пикового детек­ от идеальных, обсуждавшиеся в гл. 4 (ко­
тора в разд. 4.15. К ак будет показано нечность значений входного сопротивле­
ниже, U} выбирается таким, чтобы ток ния и входного тока, сдвиг напряжения,
погрешности через конденсатор С, оста­ подавление синфазного сигнала и откло­
вался в пикоамперном диапазоне. Здесь нений питания, дрейф этих величин с
всюду одинаковая философия: выбирайте температурой и временем), создают, как
конфигурацию схемы и типы элементов правило, серьезные трудности при про­
так, чтобы вписаться в бюджет погреш­ ектировании прецизионных схем и застав­
ности. Иногда это очень трудная работа, ляют делать дополнительную работу при
требующая хитрых приемов, а в других составлении конфигурации схемы, под­
случаях легко все решается стандартными боре элементов и выборе конкретного ОУ.
способами. Лучше всего это пояснить на примерах,
418 Глава 7

что мы вскоре и сделаем. Заметим еще, Ток сме­ Сдвиг Темпера­


что эти погрешности или им аналогичные щения входного турный ко­
существуют и у схем усилителей на диск­ /„ , нА, напряжения эффициент
при 25°С, {/дв, мВ, для U ,
ретных компонентах. не более при 25“С, мкВ/°с",
Входное сопротивление. Давайте обсу­ не более не более
дим бегло только что перечисленны е
ОР-77Е 2 0,025 0,3
источники погрешностей. Входное сопро­ (биполяр­
тивление образует делитель напряжения ный)
с сопротивлением источника, от которо­ LT1012C 0,15 0,05 1,5
го сигнал поступает на усилитель, поэто­ (супербета)
му коэффициент усиления по отношению ОРА111В 0,001 0,25 1
(ПТ с р-п-
к расчетному снижается. Чаще всего это переходом)
не проблема, так как входное сопротив­ AD549L 0,00006 0,5 10
ление значительно увеличивается за счет (ПТ с р-п-
обратной связи. Например, операцион­ переходом)
ный усилитель ОР-77Е с входным каска­ ICH8500A 0,00001 50 2000
(МОП-тран-
дом на биполярных, а не на полевых зистор)
транзисторах имеет типовое значение
«полного дифференциального входного
сопротивления» 45 МОм. В схеме с до­ По сравнению с прецизионным ОР-77
статочным петлевым усилением обратная у хорошо спроектированных ПТ-усили-
связь поднимает входное сопротивление телей ток смещения крайне мал, но на­
до значения «полного синфазного вход­ много больше сдвиг напряжения. Так как
ного сопротивления» 200000 МОм. Даже сдвиг напряжения всегда можно настро­
если этого мало, то можно воспользовать­ ить на нуль, гораздо большее значение
ся ОУ с входным ПТ-каскадом, у кото­ имеет его температурный дрейф. В этом
рого Rm достигает астрономических ве­ смысле ПТ-усилители имеют параметры
личин. в 3 или 6000 раз хуже. В операционных
Входной ток смещения. Это более серь­ усилителях с наименьшими значениями
езная вещь. Здесь речь пойдет о наноам- входного тока в качестве входного каска­
перных токах, что может вызвать микро- да используются М ОП-транзисторы. Они
вольтные ошибки даже при малых пол­ становятся популярными из-за доступ­
ных сопротивлениях источника порядка ности недорогих устройств, таких как
1 кОм. Снова на выручку приходят ПТ, 3440, 3160, серий TLC270 и ICL7610, а так­
но приходится мириться с большим воз­ же устройств со сверхмалым током смеще­
растанием сдвига по напряжению как пла­ ния, подобных названному ранее 8500А.
той за улучшение ситуации с током. Би­ Однако М ОП-транзисторы, в отличие от
полярные ОУ со сверхвысоким р, такие ПТ с /?—я-переходом и биполярных тран­
как LT1012, 312 и LM11, также могут зисторов, имеют очень большой дрейф
иметь исключительно малый входной ток. сдвига напряжения со временем —эффект,
Для примера сравним прецизионный би­ который мы кратко обсудим. Поэтому вы­
полярный операционный усилитель ОР-77 игрыш в погрешностях по току может быть
с LT1012 (биполярный, оптимизирован­ потерян вследствие возрастания погреш­
ный для получения малого тока смеще­ ностей по напряжению. В любой схеме,
ния), ОРА111 (на ПТ, прецизионный, с где ток смещения может дать значитель­
малым смещением), AD549 (ПТ со сверх­ ный вклад в ошибку, имеет смысл убе­
малым смещением) и ICH8500 (ОУ на диться в том, что в цепях обоих входов
МОП-транзисторах с исключительно ма­ ОУ сопротивление источника одно и то
лым смещением); это наилучшие типы на же, как указывалось в разд. 4.12; после
момент написания данной книги, и мы этого нас будет интересовать только та­
выбрали самые лучшие модификации каж­ кой параметр ОУ, как ток сдвига. Одно
дого типа: замечание по поводу компенсации тока
Прецизионные схемы и малошумные аппаратуры 419

смещения. В ряде прецизионных ОУ при­


меняется схема «компенсации смещения»,
которая уменьшает входной ток почти до
нуля, а тем самым делает меньше и соот­
ветствующую погрешность; чтобы посмот­
реть, как это делается, вернитесь к до­
полнительному упражнению 8 в конце
гл. 2. Имея дело с такого типа ОУ, вы
практически ничего не выиграете, со ­
гласуя сопротивления на обоих входах, по­
скольку остаточный ток смещения и ток
сдвига у ОУ с компенсацией смещения
сравнимы по величине.
Помимо сказанного, есть еще одно об­
стоятельство, которое надо помнить, при­
меняя ОУ с ПТ-входом. Дело в том, что
входной ток «смещения» есть на самом г,°с
деле ток утечки затвора и что он резко
растет при повышении температуры (гру­
бо говоря, удваивается при повышении
температуры на каждые 10 °С; см. рис.
3.30). А так как ОУ с ПТ-входом часто
разогреваются (ИМ С 356 рассеивает в по­
кое 150 мВт), то истинный входной ток
может быть значительно выше указанно­
го в таблице при 25 °С. Для сравнения
укажем, что входной ток ОУ с биполяр­
ным входным каскадом на самом деле есть
ток базы, и с ростом температуры он па­
дает (рис. 7.2). Поэтому ОУ с ПТ-вхо­
дом с его впечатляющими на бумаге дан­
ными по входному току может не дать
большого улучшения по сравнению с хо­ Рис. 7.2. Температурная зависимость входного тока
биполярного ОУ. а — логарифмическая шкала; б - ли­
рошим биполярным устройством со сверх­ нейная шкала.
высоким значением р. Пример: ОРА111
с входным током 1 пА при 25 °С будет иметь
входной ток 10 пА при температуре крис­
талла 65 °С, а это больше, чем у LT1012
со сверхвысоким р при той же температу­
ре. Популярная серия ОУ с ПТ-входом
355 имеет входной ток, который сравним
с входным током LT1012 или LM11 при
25 °С, но во много раз больше при повы­
шенной температуре. И наконец, при
сравнении ОУ по входным токам остере­
гайтесь некоторых типов ПТ ОУ, у кото­
рых /си зависит от входного напряжения.
В спецификациях обычно указывается
только значение / см при 0 В (середина
напряжения питания), однако в хороших
паспортах на ОУ приводятся также гра­ Рис. 7.3. Зависимость входного тока ПТ ОУ от син­
фики (см. типичную зависимость /см от фазного входного напряжения.
420 Глава 7

UBX на рис. 7.3). Обратите внимание на


отличные характеристики ОРА111, являю­
щиеся следствием каскодной схемы вход­
ного каскада.
Сдвиг напряжения. Сдвиг напряжения на
входе усилителя — очевидный источник
погрешности. У различных ОУ этот па­
раметр сильно варьирует — от десятков
микровольт у «прецизионных» ОУ до ве­
личин в 2—5 мВ у обычных бескорпусных
ОУ, таких как LF411. К настоящему вре­
мени чемпионом по минимуму сдвига сре­
ди ОУ без стабилизации прерыванием яв­
ляется МАХ400М (Uqrb не превы ш ает
10 мкВ). Мы ожидаем дальнейших дос­ Рис. 7.4. Типичная зависимость сдвига ОУ от числа
тижений в этой области. оборотов регулирующего сдвиг многооборотного по­
тенциометра для нескольких значений температуры.
Хотя большинство хороших одинарных
ОУ (но не сдвоенные и не счетверенные)
имеют выводы для регулировки сдвига,
все же по ряду причин имеет смысл вы­ нуль сдвига путем «лазерной подгонки» на
бирать усилитель с малым начальным на­ стенде перед отправкой в продажу. Воз­
пряжением
А
сдвига С Д В .М а К С Во-первых,
*_ можно, вам самим сделать это лучше не
у таким образом спроектированных ОУ на­ удастся. Наш совет: а) для прецизионных
блюдается соответственно малый дрейф схем прим еняйте прецизионны е ОУ и
напряжения сдвига с температурой и вре­ б) если вам требуется дополнительная под­
менем. Во-вторых, достаточно точный стройка сдвига, используйте схему тонкой
ОУ не тр еб у ет в н е ш н и х э л е м е н т о в подстройки, например, одну из тех, что
подстройки (подстроечный потенциометр показана на рис. 7.5, где полный диапа­
зан и м ае т м есто , тр еб у ет н а ч а л ь н о й зон подстройки составляет ± 50 мкВ.
подстройки, а со временем настройка П оскольку сдвиг напряж ения может
может изменится). В-третьих, дрейф на­ быть настроен на нуль, то в конечном
пряжения сдвига и подавление синфазных итоге значение имеет лиш ь дрейф сдвига
напряжений ухудшаются из-за разбалан­ со временем, при изменении температу­
са, вносимого потенциометром, регули­ ры и напряжения питания. Разработчики
рующим сдвиг. На рис. 7.4 показано, как прецизионных ОУ много работают над
регулировка сдвига увеличивает темпера­ минимизацией этих погрешностей. В этом
турный дрейф. Из рисунка видно, как за­ смысле наилучшими параметрами обла­
висит регулировка сдвига от оборотов по­ даю т б и п о л яр н ы е ОУ (в п р о ти в о п о ­
тенциометра, с наилучшим разрешением ложность ОУ с ПТ-входом), но при их при­
в районе центра, особенно для больших менении в бюджете погрешностей может
значений сопротивления подстроечного начать доминировать входной ток. У луч­
потенциометра. Наконец, вы, как прави­ ших ОУ дрейф не превышает 1 мкВ/°С, а
ло, обнаружите, что рекомендуемая вне­ у наилучшего на сей момент ОУ без ста­
шняя цепь обеспечивает слишком боль­ билизации прерыванием AD707 АЦ,двмакс
шой диапазон настройки, а в результате = 0,1 мкВ/°С.
почти невозможно уменьшить Ucm до ве­ Еще один ф актор, которы й следует
личины в несколько микровольт; но даже иметь в виду, это дрейф из-за самонагре-
если это удастся сделать, настройка будет ва ОУ, когда он включен на низкоомную
столь критичной, что вряд ли она останет­ нагрузку. Чтобы исключить большие по­
ся надолго неизменной. И еще одно, о грешности, вызываемые этим эффектом,
чем следует подумать, это то, что изгото­ часто приходится ограничивать нижнее
вителем прецизионного ОУ уже настроен значение сопротивления нагрузки 10 кОм.
Прецизионные схемы и малошумные аппаратуры 421

100 кОм В табл. 7.1 дано сравнение наиболее


важных параметров семи наилучших пре­
цизионных ОУ. Потратьте на нее неко­
1 кОм торое время — это позволит вам хорошо
почувствовать те компромиссы, на кото­
IMOmJ рые приходится идти при разработке высо­
кокачественных ОУ. Обратите внимание
10 кОм ОР-27 на противоречия между такими парамет­
ОР-77
±50 мВ <-I h OP-97 рами, как сдвиг напряжения (и его дрейф)
_ ( V rn „ < 2 5 m kB) и входной ток у биполярных ОУ и ОУ на
0 0,01 мкФ
ПТ с /ьи-переходом. Вы получите также
наименьшее напряжение шумов у бипо­
лярных ОУ, причем оно падает при уве­
личении тока смещения; ниже, при рас­
смотрении шумов в этой главе, увидим,
почему это происходит. Однако желание
получить низкий ток шумов всегда ведет
к выбору ПТ ОУ, причины чего также
будут ясны позднее. Вообще для получе­
ния малых входного тока и тока шумов
выбирайте ПТ ОУ, а биполярные ОУ —
для малых напряжения сдвига, дрейфа
и напряжения шумов.
Среди ОУ с ПТ-входом доминируют
ОУ на ПТ с переходом, особенно там,
где нужна точность. В частности, М ОП-
транзисторы имеют уникальный ухудша­
ющий их параметры эффект, который не
наблюдается ни у биполярных транзис­
торов, ни у ПТ с / ь / 2- п е р е х о д о м . Он вы­
ражается в том, что примесь ионов на­
трия в изолирующем затвор слое медленно
перемещается под воздействием элект­
рического поля, порожденного напряжением
и зи(ВКл,. что приводит к дрейфу сдвига н а­
Рис. 7.5. Внешние цепи подстройки сдвига для пре­
пряжения порядка 0,5 мВ за несколько
цизионных ОУ. а - инвертирующего; б - неинвер­
тирующего. лет. Этот эффект усиливается при повы­
шении температуры и при большом сиг­
Как правило, это может ухудшать бюджет нале на дифференциальном входе. Напри­
погрешностей следующего каскада - от мер, в паспорте ОУ с М О П -тран зи с-
тока смещения! Именно такого рода про­ торным входом СА3420 указано в качестве
блему мы встретим в нашем примере про­ типичного изменение UСДВ 5 мВ за 3000 ч
ектирования. Для тех схем, где важен работы при 125 °С и входном напряжении
дрейф в несколько микровольт, заметное 2 В. Эту натриево-ионную болезнь мож­
влияние начинают оказывать температур­ но вылечить, вводя фосфор в область зат­
ный градиент (от расположенных вблизи вора. Например, фирма Texas Instruments
выделяющих тепло компонентов) и тер- и сп о л ь зу е т в свои х «ли н ей н ы х»
мо- э. д. с. (от контактов разнородных КМ ОП-сериях ОУ (TLC270) и компара­
металлов). Эти вопросы возникнут вновь, торов (TLC339 и TLC370) затворы из по-
когда мы будем обсуждать в разд. 7.08 ликристаллического кремния, легирован­
сверхпрецизионный усилитель со ста­ ного фосфором. Это популярные недоро­
билизацией прерыванием. гие устройства, выпускаемые в различных
>>гч
fe
о *s s?
^ я
s 5 ^ c^ ь u £
О CO
< * “ ea
х<ж2 О
2 X
<
£ U <
х<х

§12 о . ©^ Ъ © in io CO
о" о ” "™ 2 ©©-
— оо
in
в! O-f 80 -
»n V
° о
Ш ГО
©
© О г-
©© ГЧ — — ГЧ ГЧ © lo
© © — X. — <*o ? 2 о

5 к < m —
«о | ©^ ©^ |
§ 2I ®
я о" ©
а
ГЧ
3 h -J °*8 ь © x. _

' ш
Э
О £о\
£ *■
О. О

о П.
•П о . я © —
°, ® ©
ГЧ 2
©
© — _ _
© © ef Г"- ©

Ш 1П ГО ГО
Г Ч ГЧ
sР <N
U © © — — ©
©" ©“
2 ГО ГЧ
и О <П ©, ©_ I
©' ©■

— — О О «с
, ^О

in
О <4
— © — _ •гчI ога гч
0-

© © — ГЧ
ГЧ — *■ - ГЧ
— — © ©

£ О © ©
ГЧ
ГО 4 0 t4»
* »• -
^—
— — © © — 12 5 гч " © '
Таблица 1.1. Семь прецизионных О У

и к.
и
о о

1 X
U хD д;
.
о р 1 £ * о

'ч * 5 g §о S 8 X
R* к^ ч
л
<N К О _
Я H «
н g- s S « 2 О
sх sI и о
^
5 о. s
S с ю
WWW S 2
,Ь - 1§ -е =f s О н г н
..
Т :•Ss ^
=5 v :
О « u
W
2
я г5
£ *рОн 9ТX
г 2J3-н о ©° г I
“ о -e ; 5 2 § р
S я
н S sI «£
S =5
» S “ S ©
.3 х 2 s U о
2 i 4 5 » * -e ! X >■> efi
х I—
S S= 2&
S- s= S3 э о
м Iо X5
+, ч * 5 о s я ± а
3 3 £ 2 s
j К = Н и
о о
I S I *£■ as i !i§
s л о 5 s 1&
Q. о
§Л| к Й н »о ч “ I
s7~,
>c *
Cfi a
4J i.
£? fc *
>v feЭ §©”
>%* »S a g а со 55° 5? S
=* а ' * § ** © ч оч 3 о :§ § s ё S4 2
= а>
U co с С С и Г с U h-
Прецизионные схемы и малошумные аппаратуры 423

корпусах, с различными характеристика­ с минимальным КОСС, равным 120 дБ


ми по быстродействию и мощности и по­ (для сравнения: ОУ типа 411 обладают
зволяющие получить приемлемый времен­ весьма умеренным КОСС в 70 дБ). Мы в
ной дрейф напряжения сдвига (50 мкВ дальнейшем кратко рассмотрим дифф е­
сдвига на каждый вольт дифференциаль­ ренциальные и измерительные усилители
ного входного напряжения). с высоким коэффициентом усиления и
Имеется важное исключение из общего высоким КОСС.
правила, по которому ПТ ОУ, а особенно Коэффициент ослабления изменений пи­
ОУ на МОП-транзисторах, имеют боль­ тания (КОИП). Изменение напряжения
шее начальное напряжение сдвига и на­ питания —причина небольших погрешно­
много больший дрейф Ucm с температурой стей ОУ. Как и большинство параметров
и временем, чем биполярные ОУ. Это ОУ, коэффициент ослабления изменений
исключение касается так называемых уси­ питания (К О И П ) специфицируется по
лителей с автоподстройкой нуля (или со отношению к сигналу на входе. Например,
стабилизацией прерыванием), в которых ОР-77 по спецификации обладает КОИП
применяются аналоговые М ОП-ключи и равным 110 дБ на постоянном токе, т. е.
усилители для восприятия и корректиров­ изменение напряжения одного из источ­
ки о стато ч н о й п о гр еш н о сти сд ви га ников питания на 0,3 В создает выходной
обычного ОУ (который сам часто изготав­ сигнал, эквивалентный изменению сигна­
ливается на МОП-транзисторах на том же ла на дифференциальном входе на 1 мкВ.
кристалле). ОУ со стабилизацией пре­ КОИП резко падает с ростом частоты,
рыванием дают даже более низкие н а­ и график, подтверждающий его безоб­
пряжения сдвига и дрейф, чем наиболее разное поведение, часто приводится в пас­
точные биполярные ОУ-5 мкВ (макс.) и портных данных. Н апример, у нашего
0,05 мкВ/°С (макс.) —но не бесплатно. Они фаворита ОР-77 спад КОИП начинается с
имеют некоторые неприятные особеннос­ 0,3 Гц и при 60 Гц он равен 83 дБ, а при
ти, которые делают их неприемлемыми для 10 кГц-42 дБ. На самом деле это не со­
многих применений. Мы детально обсу­ здает больших трудностей, так как шум ис­
дим эти особенности в разд. 7.08. точника питания также падает при увели­
Коэффициент ослабления синфазных сиг­ чении частоты, если источник питания как
налов. Недостаточный коэффициент ос­ следует шунтирован емкостью. Правда,
лабления синфазных сигналов (КОСС) при использовании нестабилизированного
ухудшает точность схемы, так как появля­ источника питания могут доставить непри­
ется сдвиг напряжения, зависящий от уров­ ятности пульсации с частотой 100 Гц.
ня сигнала постоянного тока на входе. КО И П для источников питания поло­
Обычно этим эффектом можно пренебречь: жительной и отрицательной полярностей,
он эквивалентен малому изменению коэф­ вообще говоря, различен, но это не игра­
фициента усиления и в любом случае мо­ ет никакой роли. Поэтому применение
жет быть преодолен за счет выбора кон­ сдвоенного стабилизатора (разд. 6.19)
фигурации. Инвертирующий усилитель в может не дать никакого выигрыша.
отличие от неинвертирующего нечувстви­ «Нуль»-усилитель: входные погрешности.
телен к КОСС операционного усилителя. Схема усилителя на рис. 7.1 начинается с
Однако в измерительных усилителях часто повторителя. Это сделано для обеспечения
выделяется малый диф ф еренциальны й большого входного полного сопротивле­
сигнал на фоне большого синф азного ния. Здесь есть соблазн применить ПТ, но
смещ ения, поэтому большое значение потери от больш ого Ucm перекрываю т
КОСС является существенным парамет­ выигрыш, даваемый малым значением
ром. В этих случаях надо тщательно про­ входного тока, за исключением тех слу­
думывать конфигурацию схемы, а кроме чаев, когда источник входного сигнала
того, выбирать ОУ с большим значением имеет большое полное сопротивление.
КОСС. И снова проблемы поможет ре­ Для ОУ ОР-77 ток смещения 2 нА создает
шить ОУ высшего класса вроде ОР-77 погрешность 2 мкВ на 1 кОм полного
Таблица 7.2. Прецизионные ОУ
Тип Изгото- Входное напряжение Входной ток, нА
витель11 _________________________________________________________________________________________
сдвига, дрейф Смешения Сдвига
мкВ __________________________
температурный, временной,
мкВ/°С мкВ/мес

Биполярные
ОР-07А РМ + 10 25 0,2 0,6 0,2 0,7 2 0,3 2

ОР-08Е РМ 70 150 0,5 2,5 0,8 2 0,05 0,2


LM11 NS+ 100 300 1 3 1 0,025 0,05 0,5 пА 0,01

ОР-12Е РМ + 70 150 0,5 2,5 _ 0,8 2 0,05 0,2


ОР-20В РМ 60 250 0,75 1,5 - 12 25 0,15 1,5
ОР-21А РМ 40 100 0,5 1 - 50 100 0,6 4
ОР-27Е РМ + 10 25 0,2 0,6 0,5 10 40 7 35
ОР-37Е РМ + 10 25 0,2 0,6 0,5 10 40 7 35

QP-50E РМ 10 25 0,15 0,3 — 1 5 0,1 1

ОР-62Е РМ - 200 — - - — 300 - 100


ОР-77Е РМ 10 25 0,1 0,3 0,3 1,2 2 0,1 1,5
ОР-90Е РМ 50 150 0,3 2 - 4 15 0,3 3
ОР-97Е РМ 10 25 0,2 0,6 0,3 0,03 0,1 0,03 0,1

МАХ400М МА 4 10 0,2 0,3 0,2 0,7 2 0,3 2

LM607A NS 15 25 0,2 0,3 0,2 1 2 0,5 2


AD707C AD 5 15 0,03 0,1 0,2 0,5 1 0,1 1

LT1001A LT 10 25 0,2 0,6 0,2 1 4 0,8 4


LT1006A LT 20 50 0,2 1,3 0,4 9 15 0,12 0,5

LT1007A LT 10 25 0,2 0,6 0,2 10 35 7 30

LT1012C LT + 10 50 0,2 1,5 0,3 0,03 0,15 0,02 0,15

LT1013A LT 40 150 0,4 2 0,4 15 35 0,2 1,3

LT1028A LT 10 40 0,2 0,8 0,3 25 90 12 50


LT1037A LT 10 25 0,2 0,6 0,2 10 35 7 30

RC4077A RA 4 10 0,1 0,3 0,2 0,3 2 0,1 1,5

НА5134 НА 25 250 - 5 - - 25

НА5135 НА 10 80 0,4 1,3 — 1 4 _ 4


НА5147А НА 10 25 0,2 0,6 - 10 40 7 35
Входной шум, средн. КОИП Коэффи- Скорость 4 Время уста- Примечания
мин., циент нарастания средн. , новления
напряжения тока, ДБ усиления средн., МГц средн.,
еш’ (ш, мин., В/мкс мкс
нВ/Гц|/2 фА/Гц|/2 103
На частоте На частоте 0,1% 0,01%
10 Гц 1 кГц 10 Гц 1 кГц

10,3 9,6 320 120 100 300 0,17 0,6 Классический пре­
цизионный ОУ
22 20 150 130 104 80 0,12 0,8 - - Улучшенный 308
180 150 15 4 100 100 0,3 0,5 70 Наименьшее среди
биполярных сме­
щение
22 20 150 130 104 80 0,12 0,8 — _ Улучшенный 312
58 58 140 90 100 500 0,05 0,1 - - Микромощный
21 21 380 210 104 1000 0,25 0,6 - - Маломощный
3,5 3 1700 400 100 1000 2,8 8 - - Малошумящий
3,5 3 1700 400 100 1000 17 63 Малошумящий,
декомпенсир.
ОР-27 (К > 5)
5,5 4,5 300 230 126 ЮМ 3 252) 30 Сильноточный,
малошумящий,
декомпенсир.
(К> 5)
- 2,5 — - 105 350 15 50 — —
10,3 9,6 320 120 ПО 5000 0,3 0,6 - - Улучшенный ОР-О'
60 60 1600 700 104 700 0,01 0,02 _ - Микромощный
17 14 20 6 114 300 0,2 0,9 Маломощный
“ " ОР-77
10,3 9,6 320 120 100 500 0,3 0,6 Наименьшее Uсдв
среди ОУ без
прерывания
9 6,5 320 120 100 5000 0,7 1,8 — —
10,3 9,6 320 120 120 8000 0,3 0,9 Улучшенный
ОР-07; сдвоен­
ный 708
10,3 9,6 320 120 110 450 0,25 0,8 - -
23 22 70 30 106 1000 0,4 Однополярное пи­
тание; по заказу
/пит = 90 мкА
2,8 2,5 1500 400 110 7000 2,5 8 — Малошумящий,
ОР-27
17 14 20 6 110 200 0,2 0,8 Малый ток сме­
щения, улуч­
шенный 312;
РМ10126»
24 22 70 15 103 1500 0,4 0,8 Улучшенный 358/
324; однополяр­
ное питание 71
1 0,9 4700 1000 117 7000 15 75 — - Сверхмалый шум
2,8 2,5 1500 400 110 7000 15 60 — Декомпенсир. 100'
“ (.К> 5), ОР-37
10,3 9,6 320 120 110 2500 0,25 0,8 Наименьшее U
среди ОУ без
прерывания
7 200 250 4 Счетверенный, ма­
" “ лошумящий
13 9 400 140 94 1000 0,8 2,5 11 13
3,5 3 1700 400 80 1000 35 140 0,4 - Малошумящий,
быстродействую­
щий, без частот­
ной компенсации
(К > 10)
Тип Изгото­ Входное напряжение Входной ток, нА
витель11
сдвига, дрейф Смещения Сдвига
мкВ __________________________
температурный, временной,
___________________ мкВ/°С_______ мкВ/мес________________________________
тип. макс. тип. макс. тип. тип. макс. тип. макс.
На ПТ с р - л-переходом
ОРАЮ1В ВВ 50 250 3 5 — 6пА 0,01 1,5пА 4пА

ОРА111В ВВ 50 250 0,5 1 - 0,5пА 1пА 0,ЗпА 0,7пА

LFnnn NS 1000 - _ — — 0,05 0,01 0,01 0,05

LF455A NS 75 180 3 4 - 7пА 0,05 ЗпА 0,02

AD547L AD - 250 1 - 0,01 0,025 2пА -

AD548C AD 100 250 2 15 ЗпА 0,01 2пА 5пА

AD711C AD 100 250 2 3 15 0,015 0,025 5пА 0,01

LT1055A LT 50 150 1,2 4 5 0,01 0,05 2пА 0,01

НА5170 НА 100 300 2 5 - 0,02 0,1 ЗпА 0,06

На ПТ с р-я-переходом, высокоскоростные
ОР-44Е РМ 30 750 4 10 5 0,08 0,2 4пА 0,04

LF401A - 200 - - - 0,2 0,1

ОРА404В ВВ 260 750 3 — — 1пА 4пА 0,5пА 4пА


ОРА602С ВВ 100 250 1 2 — 0,5пА 1пА 0,5пА 1пА

ОРА605К ВВ 250 500 5 - 0,01 0,035 2пА -

OPA6 O6 L ВВ 100 500 3 5 - 5пА 0,01 0,4пА 5пА

AD744C AD 100 250 2 3 15 0,03 0,05 0,01 0,02

AD845K AD 100 250 1,5 3 —


0,25 0,4 0,015 0,05

LT1022A LT 80 250 1,3 5 - 0,01 0,05 2пА 0,01

Стабилизированные прерыванием
МАХ420Е МА 1 5 0,02 ),05 0,1 0,01 0,03 0,015 0,06

МАХ422Е МА 1 5 0,02 ),05 0,1 0,01 0,03 0,015 0,06

LMC668A NS 1 5 0,05 — 0,1 0,02 0,06 _ _


Продолжение табл. 7.2
Входной шум, средн. КОИП Коэффи- Скорость f Время уста- Примечания
мин., циент нарастания средн. , новления
напряжения тока, ДБ усиления средн., МГц средн.,
еш, /ш, мин., В/мкс мкс
нВ/Гц|/г фА/Гц|/2 103
На частоте На частоте 0,1% 0,01%
10 Гц 1 кГц 10 Гц 1 кГц

25 8 ; .4 1,4 86 60 7 20 2,5 10 Малошумящий;


декомпенсиро-
ванный-ОРАЮ2
30 7 0,4 0,4 100 1000 2 2 6 10 Малый шум, ма­
лое смещение
14 3,5 10 10 80 100 20 10 Наименьший шум
среди ОУ на ПТ
с />—я-переходом
импульсный uiyv
отсутствует
100 12 10 10 86 200 5 3 4 456 и 457 более
быстродейству­
ющие
70 30 - - 80 250 3 1 3,5 4,5 Сдвоенный =
AD642, 647
80 30 - 2 86 300 1,8 1 6 7 Улучшенный
LF441; сдвоен­
ный = AD648
45 18 - 10 86 200 20 4 0,9 1 Улучшенный
LF411/2
28 14 2 2 90 150 13 5 1,2 1,8 LT1056 на 20%
быстрее
20 10 50 10 74 300 8 8 1 1,1 Малошумящий

38 13 - 7 90 500 120 16 0,2 - Декомпенсир.


(К> 3)
60 23 - 10 80 100 30 16 0,2 0,3 Быстрое установ­
ление
32 15 0,6 0,6 86 40 35 6,4 0,6 1,5 Счетверенный
23 13 0,6 0,6 86 40 35 6,5 0,7 1 Малое смещение,
быстрое уста­
новление
80 20 - - 74 - 94 20 0,3 0,4 Некомпенсир.
(К > 50)
30 13 1,3 1,3 90 100 35 13 1 2,1 Улучшенный
LF356
45 18 — 10 92 250 75 13 0,4 0,5 Малые перекрест­
ные искажения
(З-Ю^); деком-
пенсированный
(К >2)
80 25 — 100 98 200 100 16 0,3 0,3 Быстрое установ­
ление
28 14 2 2 88 150 26 8,5 0,8 1,8

1,13> - 10 - 120 1000 0,5 0,5 - - + 15 В и ят, у 430


конденсаторы
встроены
1Д3) - 10 - 120 1000 0,13 0,13 ±15 В {/„, у 432
конденсаторы
встроены
23) 10 _ 120 1000 2,5 1 — -
428 Глава 7

Таблица 7.2. П реци зи онн ы е ОУ


Тип Изгото­ Входное напряжение Входной ток, нА
витель11
сдвига, дрейф Смещения Сдвига
мкВ
температурный, временной,
мкВ/'С мкВ/мес
тип. макс. тип. макс. тип. тип. макс. тип. макс.

TSC900A TS 5 0,02 0,05 0,05 0,5пА


TSC901 TS 7 15 0,05 0,15 - 0,03 0,05 0,05 0,1

TSC911A TS 5 15 0,05 0,15 - - 0,07 5пА 0,02

TSC915 TS 10 0,01 0,1 0,03 0,1 0,05 0,1


TSC918 50 0,4 0,8 0,1 0,5пА
LTC1050 LT 0,5 5 0,01 0,05 0,054> 0,01 0,03 0,02 0,06

LTC1052 LT 0,5 5 0,01 0,05 0,1“) 1пА 0,03 5пА 0,03


ICL7650 IL + 0,7 5 0,02 0,1 0,1 4пА 0,01 8пА 0,02
ICL7652 IL + 0,7 5 0,01 0,06 0,2 ЗпА 0,03 0,015 0,04
TSC76HV52 TS 10 0,3 0,03 0,1 0,05 0,1

'' См. примечание к табл. 4.1; 2> при К = 50; 31 мкВ от пика к пику, 0,1—10 Гц; 41 мкВ/мес|/2; 5) полное

соп роти влени я и сточ н и ка си гн ал а, а в пределах 5,6 мВт (при наихудших усло­
LT1055A на ПТ с /?-я-переходом, хотя и виях 7,5 В на выходе), что ведет к по­
дает пренебрежимо малую погрешность от вышению температуры на 0,8 °С (тепло­
тока, имеет дрейф сдвига напряж ения вое сопротивление ОУ около 0,14°С/мВт,
п оряд ка 16мкВ /4°С (4°С п р и н я то за см. разд. 6.04) с соответственным сдви­
стандартный диапазон изменений темпе­ гом напряжения 0,3 мкВ. Сопротивление
ратуры воздуха в лабораторных услови­ 10 кОм на входе U2 создает погрешность
ях). Входной повторитель снабжен н а­ тока смещения, но так как U2 вместе с 11ъ
стройкой нуля сдвига, поскольку началь­ охвачены петлей обратной связи, сводя­
ное значение 25 мкВ (по спецификации) щей полный сдвиг к нулю, единственный
слишком велико. Как отмечалось выше, существенный параметр —это температур­
обратная связь увеличивает входное пол­ ный дрейф токовой погреш ности. Для
ное сопротивление до 200 ООО МОм, и тем О Р-77 в паспорте приводятся данны е
самым ошибка коэффициента усиления, о температурном дрейфе тока смещения
порожденная конечным полным сопро­ (не часто указываемые изготовителями),
тивлением источника, меньшим 20 МОм из которых следует, что вклад дрейфа тока
будет меньше 0,01%. Диоды Д, и Д 2вклю­ в бюджет погрешности равен 1,6 мкВ/4°С.
чены в схему защиты от перенапряжения С ниж ение значения R3 уменьш ит этот
на входе и должны иметь малую утечку вклад, но ценой увеличения погрешности
(меньше 1 нА). от нагрева £/,.
C/j управляет инвентирующим усилите­ Как было сказано выше в общем описа­
лем (U2), а значение Л3 выбирается из нии схемы, значение R3 таково, что тре­
соображений компромисса между погреш­ буется использование причудливого Т-об­
ностью температурного сдвига С/, и по­ разного звена в обратной связи, чтобы
грешностью дрейфа тока смещения Ur значения резисторов обратной связи ока­
Выбранное значение удерживает нагрев зались в диапазоне номиналов прецизион­
Прецизионные схемы и малошумные аппаратуры 429

Продолжение табл. 7.2.


Входной шум, средн. КОИП Коэффи- Скорость /^ср Время уста- Примечания
мин., циент нарастания средн., новления
напряжения тока, ДБ усиления средн., МГц средн.,
еш, 'ш- мин., В/мкс мкс
нВ/Гц'я фА/Гц|/2 103
На частоте На частоте 0,1% 0,01%
10 Гц 1 кГц 10 Гц 1 кГц

43) - - - 120 1000 0,2 0,7 - — Маломощный


53) 120 1000 - 2 0,8 — +15 В питание;
встроенные кон­
денсаторы
II 3» 112 600 2,5 1,5 — Встроенные кон­
денсаторы; шу­
мящий
0,83> — — - 120 1000 0,5 0,5 — — ±15 В питание
43) - - - 105 100 0,2 0,7 - — Недорогой
1,63> 2,2 125 300 4 2,5 — Встроенные кон­
“ денсаторы
1,53) - 0,6 - 120 1000 4 1,2 — — Улучшенный 7652
231 - 10 - 120 6000 2,5 2 - - Улучшенный 7650
0,73) - 10 - 120 6000 1 0,5 - - Улучшенный 7652
0,83> - — - 120 1000 0,5 0,5 — - +15 В 7652

питание = 18 В (если не указано что-либо другое); 61 сдвоенный = 1024; 7) счетверенный = 1014.

ных проволочных резисторов. Если поль­ тания влияют на суммарную погрешность


зоваться обычный конфигурацией инвен- в равной степени, и их значения приведе­
тирующего усилителя, то понадобятся ре­ ны в бюджете. Укажем здесь, что они
зисторы на 100 кОм, 1 МОм и 10 МОм автоматически компенсируются в каждом
для коэффициента усиления 10, 100 и 1000 «обнуляющем» цикле, поэтому играет
соответственно. роль только кратковременный дрейф. Эти
Входное полное сопротивление U2 мо­ погрешности благодаря качеству ОУ ле­
жет вызвать некоторые затруднения. При жат в микровольтовом диапазоне. £/3име­
коэффициенте усиления, равном 1000, его ет н есколько больш ий дрейф , но его
дифференциальное входное сопротивле­ приходится брать ПТ-типа, чтобы обес­
ние 25 МОм умножается благодаря сле­ печить малые значения тока утечки кон ­
дящей связи на А/ 1000 и составляет в зам­ денсатора. Так как выходной сигнал U3
кнутой схеме 125000 МОм. К счастью, ослабляется пропорционально выбранно­
это более чем в миллион раз превосходит му коэффициенту, то эта погрешность,
выходное сопротивление цепи, устанавли­ отнесенная ко входу, при больших коэф­
вающей коэффициент усиления (9,4 кОм), фициентах усиления ослабляется. Это
поэтому погрешность будет намного мень­ важный факт, поскольку большие коэф ­
ше 0,01%. Это один из худших случаев, фициенты усиления употребляются при
который можно себе представить, но низких уровнях сигнала, для которых тре­
даже и здесь входное сопротивление ОУ буется большая точность. Погрешности,
не создает проблем. Отсюда видно, что создаваемые U3 на выходе, всегда одина­
входное сопротивление ОУ не создает ни­ ковы, поэтому они специфицируются в
каких эффектов, которые стоило бы учи­ бюджете погрешностей как выходные по­
тывать. грешности (приведенные к выходу).
Дрейф напряжения сдвига Ux и U2 от Обратите внимание на некоторые общие
времени, температуры и напряжения пи­ принципы проектирования, которые про­
430 Глава 7

ясняются на этом примере: вы решаете ла на высоких частотах, равное, как было


некоторый набор задач, выбирая конфи­ показано в разд. 4.12 и как видно на
гурацию и элементы так, чтобы уменьшить рис. 7.6, Unn = S/nf, где Unn — полный
погрешности до приемлемых значений. размах сигнала. Второе следствие лучше
При этом необходимо идти на некоторые всего объяснить с помощью графика за­
компромиссы и уступки, при этом их вы­ висимости скорости нарастания от н а­
бор зависит от внешних факторов (напри­ пряжения дифференциального входного
мер, использование в качестве повтори­ сигнала (рис. 7.7). Смысл его в том, что
теля ОУ с ПТ-входом предпочтительнее, схема, требующая большой скорости на­
если полное сопротивление источника растания, работает с существенными на­
сигнала больше 50 кОм). пряжениями между входными клеммами
В табл. 7.2 содержатся данные ОУ, ко­ ОУ. Это может привести к катастрофи­
торые можно использовать при проекти­ ческим последствиям в схеме, претен­
ровании прецизионных схем. дующей на высокую точность.
+С корость
7.07. Выходные погрешности усилителя

Как указывалось в гл. 4, операционные


усилители имеют существенные ограниче­
ния, связанные с их выходным каскадом.
Ограниченная скорость нарастания, нели­
нейные искажения выходного сигнала (см.
Рис. 7.7. Для получения полной скорости нараста­
разд. 2.15), конечное выходное сопротив­ ния О У требуется значительное дифференциальное
ление разомкнутого контура могут при­ входное напряжение.
чинить неприятности и, если их не учи­
тывать, привести к ошеломляюще боль­ Чтобы понять, чем определяется ско­
шим погрешностям прецизионной схемы. рость нарастания, заглянем внутрь опе­
Скорость нарастания: общие соображе­ рац и он н ого усилителя. П одавляю щ ее
ния. Как отмечалось в разд. 4.11, измене­ большинство ОУ могут быть смоделиро­
ния напряжения выходного сигнала ОУ ваны схемой, изображенной на рис. 7.8.
могут происходить со скоростью, не пре­ Дифференциальный входной каскад, на­
вышающей некоторого максимума. Этот груженный на токовое зеркало, возбуж­
эффект порождается схемой частотной дает каскад с большим коэффициентом
коррекции ОУ, как увидим при более усиления по напряжению и с корректи­
детальном анализе. Одним из следствий рующим конденсатором между выходом
конечности скорости нарастания является и входом. Выходной каскад —пушпульный
ограничение амплитуды выходного сигна- повторитель с единичным коэффициентом
усиления. Корректирующий конденсатор
выбирается так, чтобы коэффициент уси­
ления разомкнутой петли усилителя ста­
новился равным единице раньш е, чем
сдвиг фазы, вызванный остальными кас­
кадами усилителя, станет существенным.
Таким образом, С выбирается таким, что­
бы f полоса частот единичного коэф ­
фициента усиления, была близка к полю­
су, с которого начинается спад усиления
следующего каскада, как описывалось в
разд. 4.34. Входной каскад имеет очень
Частота высокое выходное сопротивление и для
Рис. 7.6. Частотная зависимость максимального следующего каскада является источником
размаха сигнала на выходе О У . тока.
Прецизионные схемы и малошумные аппаратуры 431

+U., Рис. 7.8. Типичная схема внутренней


частотной коррекции ОУ.

Ф Ф

Скорость нарастания определяется током /,,, заря­


жающим конденсатор С:
Н- 5 = dU/dt = 1Э/С.
Для обычного дифференциального усилителя без
эмитгерных резисторов gm связано с /э соотношением
gn = \/гъ = /э /2г/т = /э /50 мВ.
Подставляя это выражение в формулу скорости на­
растания, находим
S = 2 U TgJC ,
т. е. скорость нарастания пропорциональна g j С, как
и ширина полосы единичного усиления. В самом деле,
S = 4nJTf C!l = 0,3/^, где f выражено в МГц, a S - в
В/мкс. Это выражение не зависит от конкретных
значений С, gm, /э и т. д. и дает хорошую оценку для
скорости нарастания (классический ОУ 741, например,
имеет f = 1,5 МГц и скорость нарастания порядка
0,5 В/мкс). Отсюда ясно, что ОУ с большим значе­
В операционных усилителях возникает нием произведения ширины полосы пропускания на
коэффициент усиления (£р) будет иметь большую
ограничение скорости нарастания, когда скорость нарастания. Нельзя улучшить быстродейст­
выходной сигнал возбуждает один из вие ОУ только увеличивая ток 1Ъ входного каскада,
транзисторов дифференциального каска­ поскольку увеличение коэффициента усиления (за счет
да почти до насыщения, действуя на сле­ роста gm) требует соответственного увеличения значе­
дующий каскад полным током эмиттера ния С для частотной коррекции. Добавочное усиле­
ние в других каскадах ОУ также не помогает.
в дифференциальной паре. Это происхо­ Из изложенного ясно, что увеличение f за счет
дит при дифференциальном входном на­ увеличения токов коллекторов, подбора более быст­
пряжении около 60 мВ, при котором со­ родействующих транзисторов и т. д. увеличивает ско­
отношение токов в дифференциальном рость нарастания. Конечно, всегда желательно иметь
большое значение f , и это хорошо известно раз­
каскаде равно 10:1. В этот момент на­ работчику ИС, который конечно же сделал, проекти­
пряжение коллектора Ть изменяется с мак­ руя кристалл, все, что мог. Тем не менее существует
симально возможной скоростью, а весь способ обойти ограничение S = 0,3f , и он основан
ток / э идет на заряд конденсатора С. на том, что крутизна определяется значением /э (gm =
Таким образом, Т5 и С образуют интегра­ = /j/2 UT). Можно использовать простой прием для
увеличения /э (и соответственно скорости нарастания
тор с ограниченной скоростью нарастания при фиксированном значении f , а в силу этого и при
на выходе. Выведем выражение скорости фиксированной форме частотной характеристики).
нарастания. Проще всего добавить некоторое сопротивление в
эмиттерную цепь дифференциального входного кас­
Скорость нарастания: детальное рассмотрение. када. Предположим, что мы сделали что-нибудь в
Прежде всего напишем выражение для коэффици­ этом роде, в результате чего /э вырос в m раз при
ента усиления разомкнутого контура по напряже­ постоянном значении gm. Повторив приведенные
нию при малом сигнале переменного тока без учета выше выкладки, получим S = 0,3/и£р.
сдвигов фаз: Упражнение 7.1. Покажите, что описанный при­
ем дает указанный эффект.
Av =gmXc = g/2nfC, Увеличение скорости нарастания. Итак, существует
откуда полоса единичного усиления (частота, при несколько способов получения высокой скорости на­
которой Аи = 1) есть растания: а) применить ОУ с большим f cp, б) увели­
чить / за счет уменьшения емкости конденсатора
4 = (\n * )(g jc )- частотной коррекции; конечно, это возможно только
432 Глава 7

Дополнитель­
ный усилитель

Вход

в тех схемах, где коэффициент усиления при замкнутом


контуре обратной связи больше единицы;
в) уменьшить крутизну входного каскада gm, добавив в Рис. 7.10. Определение времени установки. * Иногда
эмиперную цепь дополнительные резисторы, а затем про­ определяется при U = логический порог или при
порционально увеличить /э или уменьшить С; г) изменить UВЫХ = 0 ,5

Uконсчн
схему входного каскада.
Третий способ (уменьшение g j применяется
во многих ОУ. Например, НА2605 и НА2505 почти
ф еренциального входного напряж ения
одинаковы, но НА2505 содержит эмиттерные резис­ (60 мВ и более), что вполне оправдано:
торы во входном каскаде, которые увеличивают ско­ при обычно встречающихся на практике
рость нарастания ценой уменьшения коэффициента значениях петлевого усиления ОУ, вы ­
усиления разомкнутого контура. Это иллюстриру­ ходное напряжение которого отличается
ется приводимыми данными. ПТ ОУ с их малым дт
входного каскада имеют более высокую скорость
от того, которое, должно быть, будет ис­
нарастания по тем же причинам. пытывать на входе сильное воздействие
сигнала по цепи обратной связи. В вы­
НА2605 НА2505
f 12 МГц 12 МГц
сокоскоростных прецизионных схемах не
Скорость нарастания 7 В/мкс 30 В/мкс менее важно время установления выход­
Коэффициент усиления 150 ООО 25 ООО ного сигнала на том значении, к которому
усилителя без обратной оно стремится, следуя за изменением вход­
связи ного. Этот параметр - время установления
Четвертый способ состоит в применении «пере­ (время, необходимое для установления вы­
крестно-сдвоенного уменьшения крутизны», для ко­ ходного сигнала с заданной точностью на
торого требуется введение в схему входного каскада окончательное значение, см. рис. 7.10) —
целого дополнительного набора транзисторов, бол­
тающихся без дела при малых значениях сигнала, но
всегда приводится в паспортах таких уст­
всегда готовых, если нужно, дать дополнительный ройств, как цифроаналоговые преобразо­
ток. Это дает выигрыш в виде улучшения характе­ ватели, где точность - суть игры, а для
ристик ОУ по шумам и смещению, достающийся ОУ он обычно не указывается.
ценой некоторого усложнения схемы по сравнению Мы сможем оценить время установле­
с простой добавкой эмитгерных резисторов. Данный
прием применяется в изделиях фирм Harris НА5141 ния ОУ, рассмотрев вначале другую про­
и НА5151, Raytheon 4531, Signetics 535 и 538 для блему, а именно: что произойдет с идеаль­
увеличения скорости нарастания при больших диф­ ным скачком напряжения в некоторой
ференциальных входных сигналах. Зависимость цепи, нагруженной на простой АС-фильтр
скорости нарастания от входного дифференциаль­ низкой частоты (рис. 7.11). Н есложно
ного сигнала показана на рис. 7.9.
вычислить, что отфильтрованный сигнал
Полоса пропускания и время установле­ будет иметь время установления, указан­
ния. Скорость нарастания - это показатель ное на этом рисунке. Это действительно
того, насколько быстро может изменяться важный результат, поскольку часто мы
напряжение выходного сигнала. Специфи­ ограничиваем при помощи фильтра по­
кация ОУ по скорости нарастания дается лосу пропускания, чтобы уменьшить шум
обычно в предположении большого диф- (об этом еще будет сказано в этой главе).
Прецизионные схемы и малошумные аппаратуры 433

Установление до 1% за время - 5RC здает проблем, время установления мо­


до 0,1% за время * 7 RC
до 0,017о за время - 9RC жет быть много больше, чем в нашей
идеализированной «однополюсной» моде­
J ли; это зависит от схемы компенсации ОУ
/г 1 я и запаса по фазе; (в) ОУ устанавливается
/ Т °—1 тем быстрее, чем лучше применяемая схе­
ма частотной компенсации обеспечивает
/ 1 1 1 1 1 1 1 1 зависимость сдвига фазы от частоты в ра­
RC
Т — ► зомкнутой петле в виде прямой линии при
Рис. 7.11. Время установления ЛС-фильтра ниж­ логарифмическом масштабе (например,
них частот. ОР-42, рис. 7.12); ОУ, имеющие колеба­
ния на фазово-частотной характеристике,
Распространяя этот простой результат на более склонны к выбросам и пульсациям,
ОУ, достаточно вспомнить, что ОУ с час­ вроде тех, что показаны на графике рис.
тотной коррекцией имеет спад 6 дБ /окта­ 7.10; (г) быстрое установление с точ­
ва, точно так же как и НЧ-фильтр. При ностью до 1% не обязательно гаранти­
включении ОУ в схему с ОС, имеющую рует быстрое установление в пределах
коэффициент усиления К, ее «полоса про­ 0 , 1%, мож ет сущ ествовать «длинны й
пускания» (частота, на которой петлевое хвост» (рис. 7.13); (д) прямая подстановка
усиление падает до единицы) прибли­ в реальный случай приводимого изготови­
женно определяется выражением: телем значения времени установления не
всегда пригодна.
/-З Л В = / * / * В табл. 7.3 приведен ряд быстродейству­
Как основной результат можно отметить, ющих ОУ для применений, требующих
что система с полосой пропускания В име­ большого значения f , высокой скорости
ет время реакции т = 1/2кВ; отсюда сле­ нарастания и малого времени установления.
дует, что эквивалент «постоянной вре­ Погрешность коэффициента усиления.
мени» ОУ равен Существует еще одна погрешность, при­
чиной которой является конечное значе­
т » K/2nfcf. ние коэффициента усиления без ОС, а
При этом время установления грубо именно: погрешность коэффициента уси­
можно оценить как 5т * Ют. ления при замкнутой ОС из-за конечного
П опробуем прим енить наш прогноз петлевого усиления. В гл. 3 мы вывели
к реальности. ОР-44 производства фирмы выражение для коэффициента усиления
PMI - это прецизионный быстродействую­
щий некомпенсированный (К > 3) ОУ
с типичным з н а ч е н и е м ^ 23 МГц. Наша
простая формула дает оценку времени
реакции, равную 21 не, что соответствует
времени установления 0,15 мкс (7т) до
0,1%. Это очень хорошо совпадает с ре­
альным значением 0,2 мкс, приводимым
в паспорте на ОУ в качестве типичного
для точности установления 0, 1%.
Стоит отметить несколько моментов:
(а) наша простая модель дает нам только
нижнюю границу фактического значения
времени установления в реальной схеме;
всегда нужно проверить еще ограниченное Частота
скоростью нарастания время нарастания,
которое мож ет бы ть оп ред еляю щ и м ; Рис. 7.12. Частотные зависимости усиления и сдвига
(б) даже если скорость нарастания не со­ фазы ОР-42.
434 Глава 7

Таблица 7.3. Быстродействующие прецизионные О У

Тип Изгото­ иСДВ д иелв Клч 1сц еш С., Скорость

Внешн. компенс.
витель" макс, макс, макс, макс, средн. пФ нарастания,
мкВ мкВ/°С нА нА при 1 кГц, средн.,

Подстройка
нВ/Гц|/2 В/мкс

f—
с

ОР-37Е РМ + - X - 5 0,025 0,6 35 40 3,0 - 17

ОР-42Е РМ X X - 1 0,75 10 0,04 0,2 13 6 58

ОР-44Е РМ X X - 3 0,75 10 0,04 0,2 12 — 122


ОР-62Е РМ - X X I 0,2 - 100 300 2,5 - 15
ОР-63Е РМ — X X 1 0,75 - 100 300 7 - 50
ОР-64Е РМ - X X 5 0,75 - 100 300 7 - 200
ОР-65Е РМ - X - 1 2 - 2000 2,5мкА - - 200
CLC221 CL - - - 1 1 15 - 10мкА2) 4 2,4 6500
CLC400 CL X — 1 5,5 40 25мкАг> 12 0,5 700

CLC401 CL _ _ _ 7 6,5 50 _ 35мкА2) 12 0,5 1200


LF401A NS X X - 1 0,2 10 0,1 0,2 20 7 70
ОРА602С ВВ X X - 1 0,25 2 0,001 0,001 13 3 35
AD7UC AD X X - 1 0,25 5 0,01 0,025 18 6 20
MS738B КЕ - X - 2 0,075 1 20 40 3,8 3 3500
MS739 КЕ X - - 1 0.0257» 0,37> 0,037> 0,087> - - 5500
AD744C AD X X X 2 0,25 3 0,02 0,05 18 5,5 75

AD840K AD _ X _ 10 0,5 5 200 5мкА 3 1 400


AD841K AD — X — 1 1 20 200 5мкА 13 1 300

AD842K AD -
X — 2 1 10 200 5мкА 9 1 375
AD845K AD X X - 1 0,25 3 0,05 0,4 25 4 100
AD846K AD - - X 2 0,2 2 - 1502> 1,3 2 450
AD847J AD - X — 1 1 30 157< 5мкА 154) 1,5 300

AD848J AD — X — 1 1 10 157) 5мкА 44 )


1,5 300
LT1028A LT - X X 2 0,04 0,8 50 90 0,85 5 15
LT1055A LT X X - 1 0,15 4 0,01 0,05 14 4 13
1435 ТР _ X X 2 5 25 300” 20м кА 16 2 300
LH4105C NS X — — 1 0,5 207> 0,4 0,6 — 40

НА5147А НА - X - 10 0,025 0,6 35 40 3 - 35

AD9611B AD - - - 1 3 20 - 5 I5» 3 1900

11 См. примечания к табл. 4.1. :!* Токочувствительный инвертирующий вход; представленный ток смещения
относится только к неинвертирующему входу. 31 С точностью 0,02%. 41 При 10 кГц. 51 В полосе от 5 до 280 МГц.
61 Устойчив при работе в нагрузку 1 нФ. 71 Типичное значение.

усилителя с замкнутой петлей ОС, К = жить, что величина коэффициента уси­


= А/ (1 + АВ), где А — коэффициент усиле­ ления ОУ без обратной связи А > 100 дБ
ния без ОС, а В - «усиление» цепи обрат­ является вполне достаточной, но если мы
ной связи. Можно было бы предполо­ попробуем сконструировать сверхпреци-
Прецизионные схемы и малошумные аппаратуры 435

4. Время установ­ X Размах Макси Примечания


ас х§ Д ■
средн., ления средн.. средн., напряжения мальный
МГц не Ом m 5I Ё.«
8 в нагрузке выходной
Я
* ?э х I J ток, мА

Выброс 1
0,1% 0,01% = S-
и ±t/m , я ,

средн.,
СJ „ f s 1
■§■X * в" Ом
S 1 1 1 ё
Я *' ЮЖ

63 1000 - 70 10 71 0,7 11 600 5 Малошумящий (де-


компенс. ОР-27)
10 450 700 50 15 47 40 12 600 6 Устойчив при на­
грузке 300 пФ
20 200 300? - 25 53 40 — - 7 Декомпенс. ОР-42
50 - - - - _ 5 12 600 -
50 - - - - - 5 12 600 -
200 - - - - - 5 12 600 -
150 - - - - - 5 3,5 500 50 ±5 В питание
- 15 183' 8 12 - - - - 30 Гибридный
280 10 15 0 3,5 100 70 Монолитный; пре­
образователь со­
противления
2100 10 13 — 0 — — 3,5 100 70 -»-
16 200 340 50 - 55 32 12 600 12
6,5 700 1000 80 20 40 36 10 600 4 Малое смещение
4 1000 - 10 - 20 10 600 2,8 Недорогой
1500 30 200 2 5 - 12 12 100 120
- 15 303> - - — - 12 100 120
13 400 500 4 70 36 12 600 4 Сверхмалые нели­
нейные искажения
(Э Ю 6)6’
400 80 110 15 20 - - 10 500 50 Декомпенс. 841
40 80 110 5 20 10 500 12 Вертик. рпр, полез­
" “ на декомпенсация
80 80 110 5 20 — —. 10 500 100 Декомпенс. 841
16 250 300 - - - 20 12,5 500 10,2
40 80 110 16 20 — 18 10 500 7 Малошумящий
50 80 50 6 10 500 5,6 Вертик. рпр, полезна
декомпенс.
250 80 - - - 50 6 2,5 150 20 Вертик. рпр
75 - - 80 5 50 0,7 12 600 10,5 Сверхмалый шум
5 1200 1800 60 10 — 40 10 600 4 LT1056 быстрее
1000 40 70 - 1 25 4 7 500 30 Гибридный
18 500 30 10 100 25 Нет ограничения по
току
140 400 70 20 0,7 11 600 4 Малошумящий (де­
" компенсир. ОР-27)
280 13 0,03 4 3 100 50 Обратная связь по
току; нет защиты;
гибридный

зионную схему, то здесь нас ожидает сюр­ деляемая как


приз. Из предыдущего выражения для
коэффициента усиления нетрудно пока­ ^ ____ - к реальный-7/
Л/Киидеальным
зать, что «погрешность усиления», опре­ в точности равна 1/(1 + А В) и может
436 Глава 7

Время установления

Рис. 7.13. а — по мере подхода входной погрешнос­


ти к зоне 60 мВ скорость нарастания уменьшается;
6 — установка с высокой точностью может длиться
удивительно долго.

изменяться в диапазоне от 0 при А = <х> сую щ ей нас частоте, а отсю да и п о ­


до 1 (100%) при А = 0. грешность усиления как функцию часто­
Упражнение 7.2. Выведите только что приведенное
ты. Эта процедура приводит к следующе­
выражение для погрешности коэффициента усиления. му выражению:
1
Результирующая величина частотно-за­
висимой погрешности коэффициента уси­ 8* П + Я/ср/ / ’
ления далека от того, чтобы ею можно где В, как обычно, — коэффициент переда­
было пренебречь. Например, ОУ 411, у чи цепи обратной связи. Разумеется, в не­
которого коэффициент усиления без ОС которых схемах, таких как фильтры, В
на низкой частоте составляет 106 дБ, бу­ может также зависеть от частоты.
дет давать погрешность усиления 0,5% при
включении его в схему с расчетным зна­ Упражнение 7.3. Выведите представленное выше
выражение для bK(f).
чением коэффициента усиления с замк­
нутой ОС 1000. Еще хуже то, что коэф ­ Переходные нелинейные искажения и вы­
фициент усиления без ОС начиная с часто­ ходное сопротивление. Некоторые ОУ ис­
ты 20 Гц падает со скоростью 6 дБ/октава, пользуют простой пушпульный выходной
так что наш усилитель имел бы на частоте каскад без смещения баз на два диодных
500 Гц погрешность коэффициента уси­ перепада в разные стороны, как описыва­
ления в 10%! На рис. 7.14 даны кривые лось в разд. 2.15. Это приводит к искаже­
зависимости погрешности коэффициента ниям класса В при значениях выходного
усиления от частоты при значениях ко­ сигнала, близких к нулю, так как возбуж­
эффициента усиления с ОС, равных 100 дающий повторитель каскад должен давать
и 1000, для ОР-77, имеющего на низкой напряжению баз приращение 2 UZ3 каждый
частоте исключительно высокий коэф ­ раз, когда выходной ток проходит через нуль
фициент усиления 140 дБ. Отсюда ста­ (рис. 7.15). Переходные искажения могут
новится очевидным, что для сохранения быть существенны, в частности, в области
точности даже на средних частотах необ­ высоких частот, где петлевое усиление па­
ходимо иметь достаточно большой коэф ­ дает. Оно сильно уменьшается в тех ОУ,
фициент усиления и высокое значение / . где выходная пушпульная схема смещена в
Приведенные графики мы построили, состояние слабой проводимости (класс АВ).
используя данные в паспорте кривые час­ Примером последнего типа является попу­
тотной зависимости коэффициента уси­ лярная схема 741, в то время как у ее пред­
ления без ОС. Даже в том случае, если в шественника ОУ 709 используется простое
спецификации на применяемый вами ОУ смещение выходного каскада класса В. Пре­
дан указанный график, лучше всего идти красная во всем остальном, схема 324 по
в обратном направлении - от паспортных этим причинам может давать большие
зн а ч е н и й ^ и коэффициента усиления по искажения. Правильный выбор ОУ имеет
постоянному току, вычисляя величину ко­ колоссальное значение для получения вы­
эффициента усиления без ОС на интере­ сококачественных характеристик усилите­
Прецизионные схемы и малошумные аппаратуры 437

Частота, Гц

Рис. 7.14. Погрешность усиления ОР-77.


Частота, кГц

<

Частота
б
Рис. 7.16. а — измеренные частотные зависимости
полного выходного сопротивления без ОС для неко­
торых популярных ОУ; б — частотная зависимость
Рис. 7.15. Переходные искажения класса В в пуш- полного выходного сопротивления ОУ 411 и ОР-27
пульном выходном каскаде. при замкнутой ОС.

лей звуковых частот. Возможно, именно в частности, имеет во всем диапазоне зву­
эти искажения дают существенный вклад ковых частот 20 Гц—20 кГц величину
в то, что любители звукозаписи относят нелинейных искажений не более 0,002%.
к «шумам транзисторов». Некоторые со­ (Правда, это всего лиш ь заявка, так что
временные ОУ, особенно те, что предназ­ не будем слишком доверчивы!) Все эти
начаются для звукотехники, спроекти­ усилители имеют также очень низкие зна­
рованы так, чтобы получить и ск л ю ­ чения напряж ения шумов; фактически
чительно малую величину переходных не­ LT1028 на сегодня является мировым чем­
линейных искажений. Примерами могут пионом по этому параметру, имея еш =
с л у ж и т ь LT1028. LT1037 и LM833. LM833. = 1.7 нВ/VTu (макс.) пои 10 Гц.
438 Глава 7

Рис. 7.17.

Выходное сопротивление разомкнутого нию спада усиления на 3 дБ указанной


ОУ будет наивысшим при значениях на­ цепи соответствует достаточно низкая ча­
пряжения выходного сигнала, близких стота, так как появляется существенная
к нулю, поскольку выходные транзисторы добавка к сдвигу фазы в 90°, уже имею­
работают при этом с наименьшими зна­ щемуся и з-за частотной ком пенсации.
чениями тока. Выходное полное сопро­ Для примера допустим, что в качестве
тивление растет также на высоких часто­ нагрузки для ОУ с выходным сопротив­
тах с падением коэффициента усиления лением 200 Ом служит тридцатиметровый
транзисторов (из-за частотной коррекции) коаксиальный кабель. Нагрузка эквива­
и может слегка увеличиваться и на очень лентна конденсатору емкостью 3000 пФ и
низких частотах благодаря температурной образует /?С-фильтр нижних частот с точ­
обратной связи через кристалл. Можно кой, отвечающей значению —3 дБ, на ча­
легко отмахнуться от эффекта конечнос­ стоте 270 кГц. Это намного меньше час­
ти выходного полного сопротивления ра­ тоты единичного усиления для типично­
зомкнутого усилителя, считая, что обрат­ го ОУ, поэтом у автокол ебан и я будут
ная связь все спишет. Но если учесть, что весьма вероятны при высоком коэф ф и­
некоторые ОУ имеют выходное сопротив­ циенте петлевого усиления (например
ление в разомкнутом состоянии порядка у повторителя).
сотен Ом, то станет ясно, что этим пре­ Существуют два способа решения этих
небрегать нельзя, особенно при малых и вопросов. Один из них состоит в добав­
средних коэффициентах петлевого усиле­ лении последовательно выходу резистора
ния. На рис. 7.16 показаны типичные и введении обратной связи на высоких
графики выходных полных сопротивлений частотах непосредственно с выхода ОУ, а
ОУ с обратной связью и без нее. на низких частотах и постоянном токе —
Работа на емкостные нагрузки. Конеч­ от точки присоедин ен и я кабеля (рис.
ное значение выходного сопротивления 7.17). Конкретные значения параметров,
разомкнутого ОУ может явиться причи­ указанные на второй схеме, характерны
ной серьезных затруднений при попытке для данного ОУ и схемы его включения и
работы на емкостную нагрузку. Это свя­ дают представление о том, какая емкость
зано с запаздыванием сигнала или сдви­ может служить нагрузкой. Но такой при­
гом фазы, вызванным комбинацией вы­ ем ухудшает высокочастотные характери­
ходного сопротивления и емкостной на­ стики, так как обратная связь на высоких
грузки, подключенной на землю. Такая частотах не влияет на сигнал на кабеле.
ситуация может привести к неустойчивос­ Буферные усилители мощности с единич­
ти схемы с обратной связью, если значе­ ным коэффициентом усиления. Если метод
Прецизионные схемы и малошумные аппаратуры 439

Рис. 7.18.

расщепления цепи обратной связи нельзя На схему из предыдущего примера не вли­


применить, то лучшее, что можно сде­ яют выходные параметры ОУ, поскольку
лать, - это добавить буферный каскад она работает, в сущности, на постоянном
сильного тока с единичным коэффици­ токе.
ентом усиления внутрь петли (рис. 7.18).
Указанные на схеме устройства имеют ко­ 7.08. Усилители с автоподстройкой нуля
эффициент усиления по напряж ению , (стабилизированные прерыванием)
близкий к единице, малое выходное со­
противление и могут дать выходной ток Даже наилучшие прецизионные ОУ, об­
до 250 мА. Они не дают сколько-нибудь ладающие малым сдвигом, не могут срав­
значительного сдвига фазы вплоть до час­ ниться по этому параметру с имеющими
тоты единичного коэффициента усиления ошеломляюще низкое £/дв так называемы­
/ ср большинства ОУ, и их можно включать ми «стабилизированными прерыванием»
в контур обратной связи без дополнитель­ или «автоматически выбирающими нуль»
ной частотной компенсации. В табл. 7.4 операционны м и усилителями. И рония
приведен краткий список буферных уси­ заключается в том, что эти интересные
лителей. Конечно же, эти «мощные бус­ усилители построены на КМ ОП-транзис­
теры» можно использовать для работы с торах, во всех остальных случаях отличаю­
нагрузками, требующими больших токов, щихся своей посредственностью в том, что
независимо от того, есть проблемы с ем ­ касается напряжения сдвига или дрейфа.
костной нагрузкой или нет. К сожалению, Примененный здесь трюк состоит в том,
большинство буферных усилителей не со­ что в кристалл встроены второй ОУ (на­
держат встроенных цепей ограничения по страивающий нуль), а также несколько
току или предотвращения теплового про­ аналоговых МОП-ключей и запоминающих
боя, поэтому их применение требует ос­ погрешность сдвига конденсаторов (рис.
торожности. У стройства, являю щ иеся 7.19). Основной ОУ действует как обыч­
исключением из этого правила и имею­ ный несоверш енный усилитель. Работа
щие встроенную в кристалл защиту, пе­ нуль-усилителя состоит в отслеживании
речислены в табл. 7.4, например, LT1010. входного сдвига основного ОУ и подстрой­
Заметим, что приведенный пример выг­ ке по мере необходимости медленно из­
лядел бы иначе, если бы кабель был вклю­ меняющегося корректирующего сигнала с
чен на свое характеристическое сопротив­ тем, чтобы попытаться привести входной
ление. Он действовал бы как чисто ак­ сдвиг точно к нулю. Поскольку нуль-уси­
тивное сопротивление в пределах от 50 до литель сам имеет ошибку сдвига, имеется
100 Ом в зависимости от типа кабеля. еще один цикл работы, во время которого
В этом случае буферный усилитель был нуль-усилитель корректирует собственное
бы обязателен и должен был давать ток напряжение сдвига.
±200 мА для создания сигнала ±10 В на Итак, цикл автоподстройки нуля про­
нагрузке с п олн ы м со п р о ти в л е н и ем текает следующим образом: (а) нуль-уси­
50 Ом. Более детально этот вопрос об­ литель отключается от входа, его входные
суждается в разд. 13.09. клеммы закорачиваются, а выход соеди-
. Быстродействующие буферные усилители
тови- Режим малого Напряжение Режим большого сигнала £/лв. Примечания
ль" сигнала питания, макс,
±В Скорость Максималь- Размах напряжения мВ
Спад АЧХ Z , мин. макс. нарастания, ный выход­ на выходе
■ QНyЫ\’ В/мкс ной ток.
—3 дБ, -40°, ±мА 1 /„ , Я,
МГц МГц ±В Ом

T 40 15 7 2,5 20 200 150 12 80 150 Монолитный; с ограничением от


S 50 60 6 6 22 200 100 10 50 30
S 50 - 6 5 22 125 200 10 50 50 10-штырьковый корпус DIP
S 50 40 5 5 16 800 300 10 50 50 Мини-DIP; защита от перегрева;
Е 60 - 20 6 18 1500 100 10 100 20 Мини-DIP; он же 9910
М 65 20 2 6 18 250 70 10 150 6 Монолитный
L 70 - - 5 15 500 100 - - - Мини-DIP; монолитный
S + 100 80 6 5 20 1400 100 10 50 10 А также EL2033 и др.
Р 100 - 20 12 18 500 100 - - 20 ПТ-вход
А 110 - 3 - 20 1300 200 11 100 20 Монолитный
D 125 - 8 5 20 1500 100 10 100 10
А 140 65 4 5 20 1500 100 10 100 5 Монолитный
S 140 - - 4 15 1500 - 10 50 15 ПТ-вход; внешняя обратная связь
L 140 60 4 5 15 1500 100 10 100 5 ПТ-вход, прецизионный
L 180 — — 5 15 1000 100 - — - Мини-DIP; монолитный
S+ 200 30 1 5 20 4000 250 10 50 25 «Чертовски быстрый» буфер
Е 200 - 2 — 18 3000 200 13 100 25
S 200 150 6 4 6 1250 60 2,2 50 50 Видеоусилитель
Е 200 - 6 11 18 1000 500 10 20 20
Е 200 - 3 6 18 3000 200 10 50 50 ПТ-вход
Р 250 60 5 122> 18 1300 100 10 100 15
S 250 - - 5 8 1200 100 3 50 15 Видеоусилитель; внешняя обратна
А 250 80 5 5 20 1300 100 10 100 15 Мини-DIP; монолитный; а также
В 275 150 5 5 16 2500 100 11 50 15 Монолитный
В 300 60 1 5 20 2000 200 10 50 50” Изолированный металлический к
Р 350 280 4 5 20 2500 100 10 100 10 ПТ-вход; а также EL2004
S 350 - - 4 8 1200 100 3 50 15 Видеоусилитель; внешняя обратна
L 500 - - - - 5000 100 - - - ПТ-вход
L 730 200 2 5? 7 800 70 4 100 8 Монолитный

мечания к табл. 4.1. 2) Номинал. 3) Типичное значение.


Прецизионные схемы и малошумные аппаратуры 441

Основной ОУ

няется с Ср конденсатором, хранящим Это хорошие новости. Плохие же за­


корректирующий сигнал; при этом сдвиг ключаются в том, что усилители с авто­
нуль-усилителя становится равным нулю; подстройкой нуля имеют ряд «недугов»,
(б) вход нуль-усилителя подключается ко которые нам необходимо знать. Прежде
входу схемы, а выход - к конденсатору всего, будучи устройствами КМ ОП-типа,
С2, хранящему корректирующий сигнал большинство из них серьезно ограниче­
основного усилителя; теперь становится ны по величине питания (типичное пол­
равным нулю сдвиг основного усилите­ ное напряжение питания 15 В) и поэтому
ля. Аналоговые М ОП-ключи управляют­ не могут работать от обычных источников
ся встроенным в кристалл генератором с питания ±15 В. Исключением из этого
типичным значением частоты в несколь­ правила являются «высоковольтные» ОУ
ко сотен герц. Конденсаторы хранения с автоподстройкой нуля фирм M axim
напряжения ошибки имеют типичное зна­ М А Х 430/2 и T e le d y n e T S C 915 и
чение емкости 0,1 мкФ и в большинстве TSC76HV52, работающие при напряжении
случаев являются навесными компонен­ питания ± 15 В. Во-вторых, большинство
тами; фирмы LTC, Maxim и Teledyne вы­ такого рода ОУ требуют внешних (навес­
пускают ряд удобных усилителей с авто­ н ы х) к о н д е н с а т о р о в (и с к л ю ч е н и я :
подстройкой нуля, в которых дискретные LTC1050, M axim М АХ430/2, Teledyne
конденсаторы встроены прямо в корпус TSC911/13/14). Третья проблема со мно­
ИМС. гими усилителями данного типа (особен­
ОУ с автоматической настройкой нуля но с теми, у которых ограничено напря­
наилучшим образом делает то, для чего жение питания) состоит в том, что у них
он и был сконструирован, а именно: обес­ значительно ограничен диапазон входно­
печивает значения t/MB(и температурного го синфазного сигнала; например, попу­
коэффициента) в пять раз лучшие, чем у лярный ОУ ICL7650 имеет гарантирован­
наилучших прецизионных биполярных ОУ ный диапазон синфазного входного сиг­
(см. табл. 7.2). Более того, они делают нала от —5 до +1,5 В, когда он запитан от
это, полностью сохраняя ск о р о сть и обычного для него источника питания ±5 В
полосу пропускания ОУ, в отличие от при­ (для усовершенствованного ОУ ICL7652
м енявш ихся ранее си н х р о н н ы х у с и ­ этот диапазон составляет от —4,3 до + 3,5 В;
лителей, которые также называли «уси­ это более широкий диапазон, но посколь­
лители с прерыванием», но которые име­ ку он не включает потенциала минусово­
ли полосу пропускания, ограниченную го источника питания, данный усилитель
долями частоты таймера прерывателя (см. нельзя запитывать от «однополярного»
ниже). источника). Намного лучше обстоит дело
442 Глава 7

с высоковольтными усилителями — на­ частот; например, типичное значение от­


пример, МАХ432 имеет гарантированный н есен н ого ко входу ш ума составл яет
диапазон синфазного сигнала от —15 до 0,2 мкВ (от постоянного тока до 1 Гц,
+ 12 В при питании от источника ±15 В. при /?и = 100 Ом). Однако при нулевом
В табл. 4.1 показано, какие усилители с входном сигнале на выходе может наблю­
прерыванием имеют диапазон синфазно­ даться последовательность импульсов че­
го входного сигнала, ограниченный сни­ редующейся полярности длительностью
зу напряжением питания; хотя популяр­ 5 мкс и величиной 15 мВ! В низкочастот­
ный ICL7652 не входит в этот перечень, ных схемах можно (и необходимо) ста­
однако улучшенные версии фирм LTC вить на выходе RC-фильтр, который ог­
(LTC1052) и Maxim (ICL7652B) в него раничит полосу пропускания до несколь­
входят, что позволяет использовать для ких сотен герц и устранит указанны е
их питания обычный однополярный ис­ выбросы. Такого рода импульсный шум
точник. не имеет также никакого значения для ин­
Ч етверты й н ед о статок ОУ К М О П - тегрирующих схем (например, для интег­
типа — их слабый выход по току, иногда рирующего АЦП, см. разд. 9.21) или для
составляющий всего лишь 1-2 мА. Пре­ такого рода схем, в которых выходной
восходный во всех остальных отношениях сигнал изменяется медленно (например,
МАХ432 может выдать ток не более 0,5 мА! в схеме с термопарой на входе и вольт­
Пятой в перечне недостатков, но часто метром на выходе). Итак, если все, что
первой по важности, является проблема нам нужно - это отследить очень медлен­
шумов от тактового генератора. Она воз­ но изменяющийся сигнал, и если исходя
никает из-за емкостной связи в М ОП- из этого мы отфильтруем выходной сиг­
ключах (см. разд. 3.12), что приводит нал фильтром нижних частот до очень
к резким выбросам сигнала на выходе. малых значений частоты (ниже 1 Гц), то
Спецификации здесь часто вводят в за­ усилитель с прерывателем действительно
блуждение, так как в них обычно оговари­ даст нам меньший шум, чем обычный ма­
вается величина отнесенного ко входу лошумящий ОУ; см. рис. 7.20.
шума при /?и = 100 Ом, а кроме того, его Последняя проблема, связанная с усили­
величина дается только для очень низких телями с автоподстройкой нуля, — их ка-
Шум 0,1 Г ц Шум 1 г ц

0Р-07
W 'f *

Рис. 7.20. На очень низких частотах стабилизированные прерыванием ОУ имеют шумы меньше, чем обыч­
ные малошумящие ОУ. Шумы измерены в полосе частот от 0 до указанного значения (Maxim Integrated
Products, Inc).
Прецизионные схемы и малошумные аппаратуры 443

тастрофические характеристики по насы­ Кое-что еще о схемах с прерыванием


щению. Происходит следующее. Автома­
тически настраивающая нуль схема при Усилитель со связью по переменному
попытке привести разностное напряжение току. Рассматривая описанные выше уси­
на входе к нулю действует так, как если лители с автоподстройкой нуля с помо­
бы в полной мере работала обратная щью прерывателя, не спутайте этот спо­
связь. Если выход усилителя насыщен соб с другим методом «прерывания», а
(или если отсутствует внешняя цепь, обес­ именно: с традиционным узкополосным
печивающая обратную связь), то на входе усилителем с прерывателем, в котором
будет большое дифференциальное напря­ малый сигнал постоянного тока преобра­
жение, которое нуль-усилитель воспримет зуется («прерывается» с известной часто­
как входную погрешность сдвига; при той) в сигнал переменного тока, усили­
этом он слепо выдаст большое корректи­ вается усилителями переменного тока и,
рующее напряжение, которое будет под­ наконец, демодулируется путем наложе­
заряжать корректирующие конденсаторы ния на него сигнала той же формы, что
до тех пор, пока нуль-усилитель сам не использовалась первоначально для преры­
войдет в насы щ ение. В осстановление вания исходного сигнала (рис. 7.21). Д ан­
длится невероятно долго — до секунды! ная схема совершенно отлична от только
«Лекарство» такое: чувствовать, когда что рассмотренного нами метода автопод­
выход подходит к насыщению и ф и кси ­ стройки нуля с полной полосой пропус­
ровать вход, чтобы предотвратить насы ­ кания, что особенно проявляется в ее рас­
щение. Большинство ОУ с автоподстройкой качке при подходе частоты сигнала к час­
нуля с этой целью снабжены «фиксатором» тоте тактового генератора, составляющей
выхода, которы й для п р ед о тв р а щ е­ обычно всего несколько сотен герц. Иног­
ния насыщения подключается назад к ин­ да это можно наблюдать с помощью са­
вертирующему входу. Не допустить насы­ мописца или другого низкочастотного
щения в усилителе с прерыванием, не име­ измерительного прибора.
ющем «фиксирующего» вывода (а также и Температурные сдвиги. При построении
в обычном ОУ), можно, подключив па­ усилителей постоянного тока с субмик-
раллельную цепь обратной связи из дву­ ровольтными напряжениями сдвига необ­
направленного стабилитрона (два встреч­ ходимо полностью отдавать себе отчет в
но-последовательно соединенных стаби­ возможности появления температурных
литрона), которая фиксирует выход на сдвигов, которые создают небольшие тер­
уровне напряжения пробоя стабилитрона, моэлементы , образуемые соединением
не позволяя ему достигать напряжения разнородных металлов (см. разд. 15.01).
питания; лучше всего такой прием работа­ В случае, если пара таких соединений имеет
ет в инвертирующей схеме. разную температуру, мы получаем эффект
Усилитель пер. тока
К=60 дБ 1 мкФ

Рис. 7.21. Усилитель с прерывателем и связью по переменному току.


444 Глава 7

ЮкОм

1кОм
-с = >

LM675
(t/ < 10мВ,
Н агрузка
0 ,002 мкФ С - З А ) 5 Ом
Z ~ 0 ,2 2 мкФ I
J ^ ~ J i OkOm Напряжение
коррекции

- i- Обеспечивает
LM C669с
автоподстрой­
кой нуля
О10 Ом ~ устойчивость
мощного
прерыванием ОУ LM675

2 пФ

Рис. 7.22. ИМС LM669 Д1я


внешней автоподстройки
нуля.

Зеебека («термо-э.д.с.»). На практике бранный нами ОУ в усилитель с авто­


обычно имеются точки соединения про­ подстройкой нуля (рис. 7.22). Наиболее
водников с различным покрытием; тем­ естественным является включение этого
пературный градиент или даже небольшой кристалла в инвертирующую схему, при
поток воздуха легко может вызвать появ­ котором он, как показано, задает на не­
ление напряжения в несколько микро­ инвертирующем входе такое напряжение,
вольт. Даже однотипные провода разных которое приводит входной сдвиг к нулю.
изготовителей могут давать термо-э.д.с. Работает эта схема не столь хорошо, как
величиной 0,2 мкВ/°С, в четыре раза боль­ рассмотренные ранее специально пред­
ше, чем паспортное значение дрейф а назначенные для этих целей усилители с
МАХ432! Наилучший способ исключить автоподстройкой нуля: (UQm составляет
влияние тепловых потоков и градиентов — 5 мкВ (тип.) или 25 мкВ (маке). Однако
в симметричном, насколько это возмож­ она позволяет нам использовать метод ав­
но, расположении проводников и компо­ топодстройки нуля с любым ОУ. Можно,
нентов на печатной плате. например, применить его для установки
Внешняя настройка нуля. Фирма N atio­ нуля непрецизионного, но мощного или
nal выпускает превосходный чип «авто­ высокоскоростного ОУ. Представленные
подстройки нуля» (LM C669), который на схемах типы ИМС — хорошие приме­
можно использовать как внешний нуль- ры. LM675 - превосходный мощный ОУ
усилитель, превращ аю щ ий любой вы ­ (выходной ток 3 А, сложная встроенная
Прецизионные схемы и малошумные аппаратуры 445

в кристалл схема токовой и тепловой ставляется хороший случаи коренным об­


защиты), имеющий, однако, напряжение разом улучшить точность ОУ и техноло­
сдвига до 10 мВ (маке). Автоподстройка гию измерительных усилителей», однако
нуля уменьшает его примерно в 1000 раз. их превзошли улучшенные схемы автопод­
В свою очередь, LM6364 — быстродей­ стройки нуля, в которых сигнал всегда
ствующий усилитель ( f =175 МГц, ско­ проходит через один усилитель.
рость нарастания составляет 350 В/мкс) Тем не менее, отдавая должное КАН-
с напряжением сдвига 9 мВ (макс), ко­ усилителю , необходимо отметить, что
торое уменьшается здесь раз в 400. Обра­ примененный в ИМ С 7605 метод «плава­
тите внимание на фильтрующие Л С -ц е- ющего конденсатора» имеет ряд уникаль­
почки как на входе, так и на выходе схемы ных достоинств, в том числе диапазон
автоподстройки нуля: они необходимы, си н ф азн ого входного нап ряж ени я, на
чтобы подавить шумы прерывателя в этой 0,3 В превы ш аю щ ий оба напряж ения
(медленной) корректирующей петле, ког­ питания, минимальное значение КОСС
да данный метод используется для усиле­ 100 дБ даже при единичном усилении, а
ния малых сигналов и с такими малошу- также самое малое среди всех монолит­
мящими устройствами, каковым являет­ ных усилителей напряжение сдвига. При
ся LM6364 (8 нВ,Л/Гц). использовании этих усилителей, однако,
Измерительный усилитель. Еще один нельзя забывать, что необходима фильт­
метод «прерывания», так назы ваемы й рация шума на выходе, напряжение пи­
«коммутируемый с автоподстройкой нуля» тания ограничено величиной + 8 В, а пол­
(или КАН) усилитель, первоначально был ное сопротивление нагрузки должно быть
применен фирмой Intersil. В этом мето­ высоким, поскольку полное выходное со­
де, ко то р ы й был во п л о щ ен в И М С противление периодически (с тактовой
ICL7605 измерительного усилителя с «пла­ частотой) возрастает.
вающим конденсатором», М ОП-транзи- Готовый блок «плавающего конденса­
сторные ключи дают возможность запо­ тора» LTC1043 позволяет вам самим изго­
мнить дифференциальный входной сигнал товить дифференциальный усилитель с вы­
на конденсаторе, а затем усилить его с соким КОСС. Измерительные усилители
помощью неинвертирующего усилителя, подробно рассматриваются в следующем
стабилизированного прерыванием (рис. разделе. Большинство выпускаемых в на­
7.23). Как и у стандартного усилителя с стоящее время ОУ с автоподстройкой нуля
автоподстройкой нуля, здесь также име­ включены в таблицу прецизионных опе­
ются обусловленные зарядовой связью рационных усилителей (табл. 7.2).
выбросы с тактовой частотой, которые
налагаю т на К А Н -м етод те же о гр а­
ничения, которые мы видели ранее. Хотя ДИФФЕРЕНЦИАЛЬНЫЕ
в первом издании мы с энтузиазмом го­ И ИЗМЕРИТЕЛЬНЫЕ УСИЛИТЕЛИ
ворили о КАН-усилителях, что «...пред-
Термином «измерительный усилитель»
обозначают дифф еренциальны й усили­
тель со связями по постоянному току, вы­
соким коэффициентом усиления, высо­
ким входным полным сопротивлением и
больш им КО С С. Такие усилители и с­
пользуются для усиления малых диф ф е­
ренциальных сигналов, приходящих от
датчиков, к которым могут быть приме­
шаны большие синфазные сигналы или
постоянные уровни.
Рис. 7.23. Дифференциальный усилитель ICL7605 с Примером таких датчиков является тен-
«плавающим конденсатором» и высоким КОСС. зодатчик — резисторный мост, у которо-
446 Глава 7

~ 5 В. П редп ол ож и м , н ап р и м ер , что
+10 в мы хотим иметь максимальную ошибку
0,1%. Так как 0,1% от полной ш калы
есть 0,02 мВ, наложенные на 5000 мВ,
К О С С должен превосходить 250 000:1,
т. е. - 108 дБ.
Способы , применяемые для создания
хороших измерительных усилителей и во­
общ е д и ф ф ер ен ц и ал ьн ы х уси ли телей
с вы соким коэф ф и ци ен том усиления,
подобны только что обсуждавшейся схе­
мотехнике. С ущ ественны м и являю тся
Рис. 7.24. Мост из тензодатчиков, подключенный
к усилителю.
погреш ности тока см ещ ения, сдвига и
КО С С. Н ачнем с обсуждения д и ф ф е­
ренциальны х усилителей для некритич­
го деформация (удлинение материала, к ных прим енений, чтобы оценить требо­
которому он прикреплен) вызывает из­ вания к ним и схемные пути их удовлет­
менение сопротивления (см. разд. 15.03). ворения.
В результате изменяется выходное диф ­
ференциальное напряжение моста, воз­ 7.09. Простой разностный усилитель
буждаемого фиксированным постоянным
смещением +10 В (рис. 7.24). У всех ре­ Типичная ситуация, в которой достаточно
зисторов примерно одно и то же сопро­ умеренное значение подавления синфаз­
тивление (типичное значение 350 Ом), но ного сигнала, показана на рис. 7.25. Эта
они подвергаются различной деформа­ токочувствительная схема применяется
ции. Чувствительность по всей шкале как часть источника питания постоянно­
обычно равна 2 мВ на 1 В, поэтому ди­ го тока для создания неизменного тока в
апазон изменения выходного сигнала будет нагрузке. Падение напряжения на четы­
равен 20 м В при постоянном возбуждении рехпроводном прец изи он ном мощ ном
10 В. Это небольшое дифференциальное резисторе 0,01 Ом пропорционально току
выходное напряжение пропорционально нагрузки. Хотя один конец резистора R5
деформации и наложено на постоянный присоединен к земле, глупо было бы ис­
уровень 5 В. Дифференциальный усили­ пользовать одновходовый усилитель, по­
тель должен обладать исключительно боль­ скольку миллиомное сопротивление со­
шим КОСС для усиления милливольтово- единения даст ошибку в 10%! Очевидно,
го дифференциального сигнала при одно­ что нужен дифференциальный усилитель,
временном подавлении синфазной помехи но от него не требуется особенно высокий

Рис. 7.25. Стабилизатор тока.


Прецизионные схемы и малошумные аппаратуры 447

КОСС, поскольку синфазные помехи бу­ ся решение для улучшения КОСС — уве­
дут малы. личить точность резисторов разностной
ОУ включен в обычную конфигурацию схемы (рис. 7.26). Значения резисторов
разностного усилителя, как было описано выбираются так, чтобы сопротивления
в разд. 4.09. Резисторы R{, R2 и Rs ~ пре­ больших резисторов обратной связи ле­
цизионные проволочные резисторы для жали в диапазоне номиналов доступных
максимальной стабильности коэффици­ прецизионных проволочных резисторов.
ента усиления, a R3 и /?4, определяющие При использовании резисторов с допус­
КОСС, — простые однопроцентные метал­ ком 0,01% К О С С будет около 80 дБ
лопленочные резисторы. Таким образом, (68 дБ в худшем случае), если ОУ имеет
вся схема имеет точность коэффициента большое КОСС. Для получения нулевой
усиления, близкую к точности токочув­ чувствительности к синфазным помехам
ствительного резистора, и имеет КОСС нужен, как показано, только один под-
порядка 40 дБ. строечный потенциометр. При указанных
Прецизионный дифференциальный усили­ параметрах можно подстройкой свести
тель. Для таких применений, как усиле­ суммарную ош ибку к величине 0,05%
ние сигналов тензодатчиков, термопар и (чуть больше максимальной ошибки рези­
т. п., КОСС, равного 40 дБ, совершенно стора). Причудливая цепь, показанная
недостаточно, а нужны значения вроде на рисунке, применена потому, что под-
100 или 120 дБ. В предыдущем примере строечные потенциометры с малым зна­
с тензодатчиком у нас мог быть д и ф ­ чением сопротивления со временем мо­
ференциальный сигнал небаланса поряд­ гут терять настройку, и лучше обойтись
ка 2 мВ на 1 В. Если требуется точность без них.
0,05%, то нужно подавление синфазного Замечание о подавлении синфазной по­
сигнала как минимум 114 дБ. (Заметьте, мехи переменного тока. Использование
что это требование может быть значи­ хорошего ОУ и тщательная подстройка
тельно см ягчено в том случае, когда позволяют достичь КОСС в 100 дБ и бо­
«нуль» усилителя равен синфазному на­ лее на постоянном токе. Но проволочные
пряжению, как это можно получить в л а­ резисторы, которые нужны для стабиль­
бораторных условиях.) Напрашивающее- ности, имеют некоторую индуктивность,
из-за которой КОСС ухудшается с часто­
Я2 той. Этот эффект, общий для всех схем,
250 0м 0,01%
которые мы будем рассматривать, можно
уменьшить применением безындуктивных
проволочных резисторов (типа Aryton —
Perry). Заметим также, чтобы получить
хороший КОСС на высоких частотах, не­
обходимо сбалансировать емкости схемы.
Это может потребовать строгого зеркаль­
но-симметричного расположения элемен­
тов.
Фирма Burr-Brown выпускает ряд пре­
цизионных дифференциальных усилите­
лей, укомплектованных подогнанными
друг к другу резисторами, в очень удоб­
ном 8-ш ты рковом корпусе м и н и -D IP .
INA105 — усилитель с единичным усиле­
нием (максимальная погрешность коэф­
ф ициента усиления ± 0,01%), входным
сопротивлением 25 кОм, a INA106 имеет
коэффициент усиления 10 с такой же точ­
Рис. 7.26. ностью и входное сопротивление 10 кОм.
448 Глава 7

-110 в /?, 200 кОм R , 200 кОм

Рис. 7.27. Дифференциаль­


ный усилитель с большим
допустимым синфазным на­
пряжением, построенный на
низковольтных ОУ.

КОСС последнего не меньше 94 дБ, £/дв (рис. 7.28). Эта схема проще, чем схема на
максимум 100 мкВ и он устойчив при рис. 7.27, но здесь существенно хуже па­
работе на емкостную нагрузку до 1000 пФ. раметры сдвига и шумов: Ucrb = 1000 мкВ
Фирма Burr-Brown выпускает также ва­ (у INA105 — 250 мкВ), а напряжение вы­
риант схемы, имеющей большой диапа­ ходных шумов (полная амплитуда в диа­
зон входного синф азного напряж ения пазоне 0,01-10 Гц) достигает 25 мкВ —
(± 200 В) и описанной ниже. против 2,4 мкВ у INA105.
Высоковольтный дифференциальный Увеличение входного сопротивления.
усилитель. На рис. 7.27 показан разумный Разностная схема с тщательно подстроен­
способ расширения диапазона синфазного ными значениями резисторов, казалось
напряжения входа в схеме разностного бы, должна дать нужные рабочие пара­
усилителя за границы напряжения пита­ метры, но это впечатление проходит, если
ния без соответствующего уменьшения посмотреть на ограничения, накладывае­
дифференциального коэффициента усиле­ мые на сопротивления источников. Для
ния. U2 воспринимает синфазный сигнал, получения точности коэффициента уси­
имеющийся на входе {/,, и возвращает его ления 0,1% с помощью схемы рис. 7.26
с инверсией через Rs и Rb. Так как тем сопротивление источника сигналов дол­
самым синфазный сигнал на входах £/, жно быть меньше 0,25 Ом! Более того, для
и (J2 полностью подавляется, то КОСС
380 кОм 3 8 0 кОм
этих ОУ является несущественным. Окон­
чательное значение КОСС этой схемы
определяется точностью согласования от­
ношений резисторов R J R S = Л 7 /?6 без
особых требований к точности л 2 и R4.
Схема имеет диапазон синфазного вход­
ного напряжения ± 200 В, КОСС - 80 дБ
и дифференциальный коэффициент уси­
ления 1,0.
В усилителе с единичным усилением
INA117 фирмы Burr-Brown для получе­
ния больш ого д и а п а зо н а си н ф азн ы х
напряжений использован другой прием,
а именно резистивный делитель напряже­
ния 200:1 для того, чтобы привести вход­ Рис. 7.28. Дифференциальный усилитель INA117 с
ной сигнал + 200 В к обычному диапа­ диапазоном входного синф азного напряжения
зону синфазного напряжения ОУ ± 10 В ±200 В.
Прецизионные схемы и малошумные аппаратуры 449

R,
Синф.
ч~ р помеха

Рис. 7.29.

получения КОСС 100 дБ внутреннее пол­ зисторов обратной связи, показанную на


ное сопротивление источника на двух его рис. 7.30. Этот вариант Т-образной цепи
выводах должно быть согласовано с точ­ для дифференциального усилителя обсуж­
ностью до 0,0025 Ом. Это следует из рас­ дался в разд. 7.06 и 4.19. При обозначен­
смотрения эквивалентной схемы (рис. ных на рис. 7.30 значениях резисторов
7.29). Треугольниками обозначены цели­ усиление дифференциального напряжения
ком разностные усилители или вообще будет около 1000 (60 дБ). Для точности
любые дифференциальные или измери­ коэффициента усиления 0, 1% полное со­
тельные усилители, а и RkJ — эквива­ противлен и е и сточ н и ка долж но быть
лентные сопротивления источника на каж­ меньше 25 Ом и согласовано (на выводах
дом выводе. Вся схема усилителя для син­ источника) до 0,25 Ом, чтобы получить
фазных сигналов включает в себя эти КОСС 100 дБ. Это по-прежнему неприем­
сопротивления источника, соединенные лемые требования к источникам в боль­
последовательно с входными резистора­ шинстве применений. Например, тензо-
ми R и Rz (рис. 7.26 и 7.27), поэтому датчик имеет полное внутреннее сопро­
КОСС зависит от согласованности /?и1 + тивление около 350 Ом.
+ Л, с Ли2 + Ry Конечно, требования, Радикальное решение этой проблемы
которые предъявляю тся этой схем ой заключается в использовании повторите­
к полному сопротивлению источника, как лей или неинвертирующих усилителей для
показано выше, оказываются слиш ком получения высокого полного входного
жесткими. сопротивления. Наиболее простой спо­
Некоторого улучшения можно добить­ соб — это добавить повторители к обыч­
ся за счет увеличения значений резисто­ ном у д и ф ф ерен ц и альн ом у усилителю
ров, применяя Т-образную цепь для ре­ (рис. 7.31). При получающихся колоссаль-

Я, /?2 /?5
о
1 250 кОм 100 кОм

а б
Рис. 7.30. Дифференциальные усилители с Т-образными цепями, обеспечивающими большие значения
полного входного сопротивления при меньших сопротивлениях резисторов обратной связи.
450 Глава 7

Рис. 7.31. Дифференциальный усилитель с высоким

ных значениях входного полного сопро­


тивления вопросы полного сопротивления
нас уже не волнуют, по крайней мере на
постоянном токе. На более высоких час­
тотах снова важно иметь согласованные
полные сопротивления источника для син­
фазных сигналов, так как входная емкость
схемы в комбинации с сопротивлением Рис. 7.32. Классический измерительный усилитель.
источника образует делитель напряжения.
Под «высокими частотами» часто имеет­ ход представляет собой сигнал с сущест­
ся в виду просто частота напряжения сети, венно уменьшенной (относительно) син­
поскольку наводка синфазной помехи от фазной составляющей и используется для
сети питания схемы — это обычная не­ возбуждения схемы обычного дифферен­
приятность; на такой частоте входная ем­ циального усилителя. Последний часто
кость в несколько пикофарад неважна. бывает включен с единичным коэф ф и­
циентом усиления, и его задача — полу­
7.10. Стандартный измерительный чение однополюсного выходного сигнала
усилитель на трех ОУ и подавление остаточного синф азного
сигнала. В результате отпадает надобность
Одним из недостатков описанной схемы в том, чтобы выходной ОУ имел большой
с повторителями на рис. 7.31 является то, К О С С , и не требуется прецизионного
что в ней требуется большой КОСС и согласования резисторов в схеме обвязки
в повторителях, и в выходном ОУ. По­ Uy Настройка нуля сдвига для всей схе­
скольку входные буферные усилители ра­ мы может быть сделана, как показано, на
ботают с единичным усилением, все по­ одном из входных ОУ. Эти входные ОУ
давление синфазных помех должно про­ должны, однако, иметь высокий КОСС,
исходить в выходном усилителе, что тре­ и выбирать их следует тщательно.
бует, как было указано, прецизионного В виде гибридных ИМ С измерительные
согласования резисторов. Схема, изобра­ усилители с описанной стандартной кон­
женная на рис. 7.32 в этом смысле значи­ фигурацией выпускаются несколькими
тельно лучше. Она представляет собой фирмами. Все компоненты, кроме резис­
стандартную конфигурацию измеритель­ тора R v встроены, а коэффициент усиле­
ного усилителя. Входной каскад является ния устанавливается единственным вне­
удачным сочетанием двух ОУ, обеспечи­ шним резистором Rx. Типичными приме­
вающим большой дифференциальный ко­ рами таких модулей являю тся м икро­
эффициент усиления и единичный коэф ­ м ощ ны й IN A 102, в ы с о к о ск о р о стн о й
фициент усиления синфазных сигналов без INA110 и прецизионный AD624. У всех
какого-либо особо точного согласования этих усилителей коэффициент усиления
резисторов. Его дифференциальный вы- имеет диапазон от 1 до 1000, КОСС —око­
Прецизионные схемы и малошумные аппаратуры 451

Рис. 7.33. Измерительный


усилитель с выводами за­
щиты, измерительным и
опорного напряжения.

ло 100 дБ и входное полное сопротивле­ ществует отдельная панель регулировки —


ние более 100 МОм. Микромощный гиб­ компоновка, которой следует избегать),
ридный модуль LH0036 может работать от то его (резистора Л,) соединения также
такого низкого напряжения питания, как должны быть экранированы и защищены,
± 1 В. AD624 имеет линейность коэф ­ (б) Выводы И ЗМ ЕРЕН И Е и ОПО РН Ы Й
фициента усиления около 0,001%, началь­ дают возможность измерять выходное на­
ный сдвиг напряж ения менее 25 мкВ пряжение непосредственно на нагрузке,
и дрейф напряж ения сдвига не более так что благодаря обратной связи можно
0,25 мкВ/°С; предусмотрена и возмож­ исключить потери в соединительных про­
ность внешней настройки нуля напряже­ водах, идущих^ к внешней схеме. К тому
ния сдвига. Некоторые измерительные же ОП О РН Ы Й вывод позволяет смещать
усилители (н ап р и м ер в ы со ко то ч н ы й выходной сигнал постоянным напряже­
INA104) имеют возможность регулировки нием (или другим сигналом); однако пол­
КОСС. Не путайте эти модули с «измери­ ное сопротивление между этим выводом
тельным операционным усилителем» 725, и землей должно быть малым, иначе упа­
который представляет собой просто хо­ дет К О С С, (в) Д ля всех такого вида
роший ОУ, предназначенны й для и с ­ измерительных усилителей необходимо
пользования в схемах измерительных уси­ ф о р м и р о в ать ц еп ь для п рохож д ен и я
лителей. На рис. 7.33 приведена полная входного тока; нельзя, наприм ер, п ро­
схема измерительного усилителя, как она сто подсоединить к входу термопару.
обычно строится. На рис. 7.34 показана простая схема вклю­
Несколько замечаний об этой схеме из­ чения ИМ С измерительного усилителя с
мерительного усилителя: (а) Усиленный
(по мощности) синфазный сигнал с вы­
Измер.
хода U4 может быть и спользован как
«защитное» напряжение для ослабления f Выход у
Нагрузка -
эффектов емкости кабеля и утечек. При
Опорн.
таком включении защитный выход дол­
жен быть соединен с экраном входного
кабеля. Если резистор установки коэффи­
циента усиления (Л,) не установлен не­
посредственно рядом с усилителем (су­ 7.34. ИМС измерительного усилителя.
452 Глава 7

1 кОм
(-)о -С Э 10 кОм 10 кОм

1 кОм {=> __ Л
10 кОм 10 кОм Опорн.
(+)<H=F Прецизионны е
резисторы

Рис. 7.35. Измерительный


усилитель со следящей связью
по питанию для повышения
КОСС.

Таблица 7.5. Измерительные усилители

Тип ПТ- Нали­ Питание Максимальные входные погрешности2’


вход чие
ОС напряжение, Ток сдвиг напряжения ток, нА
по В макс,
току мА
МПП. МЛЬЛ..
мВ мкВ/°С мВ мкВ/°С смешения сдвига

АМР-01А — X 9 36 5 0,05 0,3 3 50 3 1


АМР-05А X X 10 36 10 1 10 15 100 0,05 0,025
LH0036 - - 2 36 0,6 1 109> 5 15«) 100 40
LH00383» - - 10 36 2 0,1 0,25 10 259> 100 5
INA101C - - 10 40 8,5 0,025 0,25 0,2 10 20 20
INA102C - - 7 36 0,8 0,1 2 0,2 5 30 10
INA104C - - 10 40 10 0,025 0,25 0,2 10 20 20
INA110B X - 12 36 4,5 0,25 2 3 50 0,05 0,025
LM363A - X 10 36 2 0,05 0,5 10 250 5 2
AD521 - X 10 36 5 3 15 400 400 80 20
AD522 - - 10 36 10 — 6 0,4 50 25 20
AD524C - - 12 36 5 0,05 0,5 2 25 15 10
AD624C - - 10 36 5 0,025 0,25 2 10 15 10
AD625C - _ 10 36 5 0,025 0,25 2 15 15 5
ICL76 0551 X - 4 18 5 0,005 0,2 - - 1,5 -

" Шум и погрешности могут быть разделены на составляющие, обусловленные как входом, так и выходом.
Полный шум (или погрешность), таким образом, дается выражением Вх. + Вых./К. 21 Дифференциальное
полное входное напряжение превышает 1 ГОм, за исключением LH0038 (5 МОм), АМР-05 (1 ТОм) и INA110B
Прецизионные схемы и малошумные аппаратуры 453

использованием выводов защиты входа, больш ое полное сопротивление с п о ­


измерения и опорного. мощью только двух ОУ. Поскольку по­
Следящая связь по питанию. К О С С давление синфазного сигнала происходит
входных ОУ может оказаться ли м и ти ­ здесь не в два этапа, как в схеме с тремя
рующим фактором для подавления син­ ОУ, для получения хорошего КОСС н е­
фазных помех во всей схеме. Если нужны обходимо прецизионное согласование ре­
значения КОСС около 120 дБ, то мож­ зисторов, подобно тому как это было
но применить прием, иллюстрируемый в стандартной схеме разностного усили­
рис. 7.35. £/. измеряет уровень синфазно­ теля.
го сигнала и управляет общей точкой
маломощного плавающего расщепленного
источника питания для Ux и Ur Эта схема
следящей связи эффективно гасит синфаз­
ный сигнал для Ux и U2, так как на
их входах нет синфазных колебаний отно­
сительно их собственного питания. U}
и UAпитаются от общего источника пита­
ния, как обычно. Эта схема может тво­
рить чудеса с КОСС, по крайней мере на
постоянном токе. С возрастанием часто­
ты приходится иметь дело с обычными
проблемами согласования полных сопро­
тивлений и входных емкостей.
Конфигурация с двумя ОУ. На рис. 7.36 Рис. 7.36. Схема измерительного усилителя
показана схема, которая обеспечивает с двумя ОУ.

0,1 13 0,5 - 85 125 4,5 570 26 12 50


4 7 3 - 1 90 110 7,5 3000 120 - — 5 5
- - 5 - - 50 100 0,3 350 0,35 - - 8 600
0,2 - 0,6 - 10 - 114 0,3 — 1,6 - - - 804)
0,8 - 1,5 - 50 80 106 0,4 300 2,5 20 0,2 30 500
0,1 - 2,5 8 20 90 90 0,2 300 0,3 30 0,03 50 3300
0,8 - 1,5 50 80 106 0,4 300 2,5 20 0,2 30 350
1 8 5 1" - 80 106 17 2500 то6» - - 4 ц«
0,4*» 1008> 1,2 100 15 1007' 126 0,4 2007» 30 307> 5 207’ 70
0,5 150 1,2 30 - 70 100 10 2000 40 75 6 7 35
1,5 15 - 15 - 75 100 0,1 300 0,3 - - 5004) 200004’
0,3 15 0,5 10 40 80 120 5 1000 25 10 50
0,2 10 0,5 10 40 80 130 5 1000 25 — - 10 50
0,2 - 0,4 7 30 80 120 5 650 25 - - 154> 7541
1,7 - - - 100” 1009> 0,5 0,01 0,01 медленный медленный

(5 ТОм). 31 Диапазон коэффициента усиления 10—2000. 41 До 0,01%. 51 Тип КАН (см. разд. 7.10); 7606 - без
частотной компенсации. 61 К = 500. 71 К = 10. 81 0,01 Гц-10 Гц. 91 Типичное значение.
454 Глава 7

и зм ер и тел ьн о го уси ли теля


АМР-01.

Специализированные ИМС измеритель­ чае коэффициент усиления. Одна пара уси­


ных усилителей. Существует несколько лителей управляется входным сигналом,
интересных конфигураций измерительных а другая — выходным сигналом, отсчи­
усилителей, выпускаемых в виде монолит­ тываемым относительно входа опорного
ных (и поэтому недорогих) ИМ С; неко­ напряжения. В АМР-05 используются ПТ
торые из них имеют очень хорошие рабо­ для поддержания низкого уровня входных
чие параметры. В них применяются дру­ токов, а в АМР-01 используются бипо­
гие методы, чем в рассматривавш ихся лярные транзисторы для достижения ма­
ранее схемах. лого напряжения сдвига и малого дрейфа
Дифференциальные усилители с преоб­ (табл. 7.5).
разованием проводимости (в цепи обрат­ В прецизионной схемотехнике исклю­
ной связи). В этих схемах, представителя­ чительно полезными могут быть методы
ми которых являются LM363, AD521 и с использованием микропроцессорной
выполненный на ПТ с />-я-переходом обработки; см. разд. 13.24.
АМР-05, большое значение КОСС дости­
гается без согласования внешних сопротив­ ШУМЫ УСИЛИТЕЛЕЙ
лений. Фактически только коэффициент
усиления устанавливается отношением Почти в любой области измерений зна­
пары навесных резисторов. На рис. 7.37 чение предельно различимого слабого сиг­
показана функциональная схема АМР-01. нала определяется шумом — мешающим
В ней используются две пары дифферен­ сигналом, который забивает полезный
циальных усилителей - преобразователей сигнал. Даже если измеряемая величина
проводимости с одним навесным резис­ и не мала, шум снижает точность и з­
тором, устанавливающим в каждом слу­ мерения. Некоторые виды шума неуст­
Прецизионные схемы и малошумные аппаратуры 455

ранимы принципиально (например, флук­ Джонсоновский шум. Любой резистор на


туации измеряемой величины), и с ними плате генерирует на своих выводах неко­
можно бороться только методами усред­ торое напряжение шума, известное как
нения сигнала и сужения полосы, которые «шум Джонсона» (тепловой шум). У него
мы обсудим в гл. 15. Другие виды шума горизонтальный частотный спектр, т. е.
(например, помехи на радиочастоте и одинаковая мощность шума на всех час­
«петли заземления») можно уменьшить тотах (разумеется, до некоторого преде­
или исключить с помощью разных при­ ла). Ш ум с горизонтальны м спектром
емов, включая фильтрацию, а также тщ а­ называют «белым шумом». Реальное н а­
тельное продумывание расположения про­ пряжение шума в незамкнутой цепи, по­
водов и элементов схемы. И наконец, рожденное сопротивлением R, находя­
существует шум, который возникает в щ имся при температуре Т, выражается
процессе усиления, и его можно умень­ формулой
шить применением малошумящих уси­
лителей. Хотя техника осреднения сиг­ U ^ = U mR={AkTRBY>\
нала часто применяется для извлечения где к — постоянная Больцмана, Т — абсо­
сигнала, маскируемого ш умом, имеет лю тная температура в Кельвинах (К =
смысл для начала убедиться, что система = °С + 273,16), В — полоса частот в Гц.
свободна от всех устранимых помех и об­ Таким образом, Um ^ — это то, что по­
ладает наименьшим, практически возмож­ лучится на выходе совершенно бесшум­
ным шумом усилителя. ного фильтра с полосой пропускания В,
Мы начнем с разговора об источниках если подать на его вход напряжение, по­
происхождения и характеристиках раз­ рожденное резистором при температуре
личных видов шумов, от которых страда­ Т. При комнатной температуре (68 °F =
ют электронные схемы. Затем мы займем­ = - 20 °С = 293 К)
ся обсуждением шумов биполярны х и
полевых транзисторов, включая методы 4 к Т = 1,62- 10- 20 ВУ(Гц-Ом),
проектирования малошумящих схем при (4kTR)['2 = 1,27 • 10-|0Д '/2 В/Гц1/2
заданном источнике питания. Приведем
= 1,27 • 10“4Л,/2мкВ/Гц|/2.
несколько конструктивны х примеров.
После небольшого обсуждения шумов Например, резистор на 10 кОм при ком­
дифференциального усилителя и усили­ натной температуре имеет среднеквадра­
теля с обратной связью мы посвятим тичное напряжение шума в разомкнутой
заключительный раздел обсуждению над­ цепи порядка 1,3 мкВ, измеренное в по­
лежащего заземления и экранирования,а лосе 10 кГц (измерять можно, например,
также исключению помех и наводок (см. подсоединив резистор ко входу высоко­
также разд. 13.24, посвященный методам качественного усилителя и наблюдая на­
моделирования аналоговых схем). пряжение на выходе усилителя вольтмет­
ром). Сопротивление источника этого на­
7.11. Происхождение и виды шумов пряжения шума равно просто R. На рис.
7.38 дан граф ик простой зависимости
Термин «шум» применяется ко всему то­ плотности напряжения шума Джонсона
му, что маскирует полезный сигнал, по­ (среднеквадратичное напряжение на ко­
этому шумом может оказаться какой-ни­ рень квадратный из ширины полосы) от
будь другой сигнал («помеха»); но чаще сопротивления источника.
всего этот термин означает «случайный» Амплитуда напряжения шума Джонсо­
шум физической (чаще всего тепловой) на, вообще говоря, в данный конкретный
природы. Ш ум характеризуется своим момент непредсказуема, но она подчиня­
частотным спектром, распределением ам­ ется закону распределения Гаусса (рис.
плитуд и источником (происхождением). 7.39), где p(U )dU — вероятность того, что
Мы назовем основны х «возмутителей мгновенное значение напряжения заклю­
спокойствия». чено между U u U + dU, a Um— опреде-
456 Глава 7

io3 циальный случай такого флуктуационно-


Ф
диссипативного явления.
О> Шум Джонсона не следует путать с до­
*«>,
102 полнительным шумовым напряжением,
2^- который возникает из-за эффекта флук­
«О х,g туации сопротивления, когда приложен­
X <U
Ё« ный извне ток проходит через резистор.
| 10
тsа Э Этот «избыточный шум» имеет спектр
приблизительно 1/ / и он сильно зависит
от конкретной конструкции резистора.
Мы об этом поговорим позже.
102 103 104 105 106 Дробовой шум. Электрический ток пред­
Сопротивление, Ом
ставляет собой движение дискретных за­
Рис. 7.38. Зависимость напряжения теплового шума рядов, а не плавно непрерывное течение.
от сопротивления. Конечность (квантованность) заряда при­
водит к статистическим флуктуациям тока.
Если заряды действуют независимо друг
от друга, то флуктуирующий ток опреде­
ляется формулой

где q - заряд электрона (1,6 ■10~19 Кл),


/ = —постоянная составляющая («устано­
вившееся» значение) тока, а В — ширина
полосы частот измерения. Например, «ус­
тановившийся» ток в 1 А фактически име­
ет флуктуации со среднеквадратичным
Рис. 7.39. p(U , и + dU ) = (\/U m4 b .) e - 'u‘/wl,dU,
где 1}щесть эффективный шум. Площадь заштрихован­ зн ач ен и ем 57 нА в полосе ш и ри н ой
ной области равна вероятности нахождения мгновен­ 10 кГц, т. е. он отклоняется примерно
ного значения напряжения между U и U + &U. на 0,000006%. Относительные флуктуации
больше для меньших токов: «установив­
ленное выше среднеквадратичное (эф ­ шийся» ток в 1 мкА имеет флуктуации
фективное) напряжение шума. (среднеквадратичные) в той же полосе
Шум Джонсона устанавливает нижнюю частот 0,006%, т. е. — 85 дБ. При посто­
границу напряжения шумов любого детек­ янном токе 1 пА среднеквадратичны е
тора, источника сигнала или усилителя, флуктуации тока (полоса та же) будут со­
имеющего резистивные элементы. Актив­ ставлять 56 фА, т. е. отклонение на 5,6%!
ная составляющая полного сопротивления Дробовой шум — это «шум дождя на жес­
источника порождает шум Джонсона; так тяной крыше». Как и резистивный шум
же действуют резисторы цепей смещения Джонсона, это гауссовский белый шум.
и нагрузки усилителя. Скоро мы увидим, Приведенная выше формула для дробо­
как это происходит. вого шума выведена в предположении,
Интересно отметить, что любой физи­ что созд аю щ и е т о к н о си тел и заряд а
ческий аналог сопротивления (любой ме­ действуют независимо друг от друга. Это
ханизм потерь энергии в физической сис­ справедливо, когда заряды преодолевают
теме, например, вязкое трение малых ча­ некоторый барьер, как, например, в случае
стиц жидкости) имеет связанные с ним тока через диодный переход, где заряды
флуктуации соответствующей физической перемещаются за счет диффузии, однако
величины (в приведенном примере — это это не так в таком важном случае, когда
флуктуации скоростей частиц, проявляю­ мы имеем дело с металлическими про­
щиеся как хаотическое броуновское дви­ водниками, где между носителями заряда
жение). Шум Джонсона —это просто спе­ сущ ествует тесная корреляция. Таким
Прецизионные схемы и малошумные аппаратуры 457

образом, ток в простой резистивной схе­ никающ ий по другим причинам, также


ме имеет намного меньшую шумовую со­ часто имеет спектр 1//, примерами таких
ставляющую, чем это предсказывает ф ор­ шумов являются шум тока базы у транзи­
мула для дробового шума. Другое важное стора и шум катодного тока в электрон­
исключение для этой формулы дает наша ных лампах. Любопытно, что шум вида
стандартная транзисторная схема источ­ 1/ / встречается в природе в самых неожи­
ника тока (рис. 2.21), в которой отрица­ данных проявлениях, например, скорос­
тельная обратная связь сводит дробовой ти океанических течений, потоке песка в
шум на нет. песочных часах, пассажирских потоках на
Упражнение 7.4. Пусть в качестве коллекторной
скоростных железных дорогах в Японии,
нагрузки в малошумяшем усилителе используется а также годовом стоке Нила за последние
резистор; коллекторный ток / к сопровождается при 2000 лет. Если построить график гром­
этом дробовым шумом. Покажите, что в выходном ко сти зв у ч а н и я к а к о г о -н и б у д ь п р о ­
шуме напряжения доминирует дробовой шум (а не изведения классической музыки, то опять-
тепловой шум резистора), начиная с момента, когда
падение напряжения в установившемся режиме на
таки получится спектр 1/ / Общего прин­
резисторе нагрузки становится больше 2kT/q (50 мВ ципа, объясняющего происхождение шумов
при комнатной температуре). со спектром 1//, не найдено, хотя он, ка­
залось бы, носится в воздухе, но в каж­
Шум 1 /f (фликкер-шум). Дробовой и
дом отдельном случае часто можно опре­
тепловой шумы —это неуменьшаемые ви­
делить источник такого шума.
ды шума, возникающие в соответствии с
Помехи. Как уже говорилось, одной из
законами физики. Самый дорогой и тщ а­
форм шумов являются мешающие сигна­
тельно изготовленный резистор имеет тот
лы или паразитные наводки. В этом слу­
же тепловой шум, что и дешевый угле­
чае спектр и амплитудные характеристики
родный резистор с тем же сопротивлени­
зависят от мешающего сигнала. Напри­
ем. Реальные устройства, кроме того,
мер, наводка от сети 50 Гц имеет спектр
имеют различные источники «избыточных в виде пика (или ряда пиков) и относи­
шумов». Реальные резисторы подверже­
тельно постоянную амплитуду, а шум
ны флуктуациям сопротивления, которые
зажигания автомобиля, шум грозовых раз­
порождают дополнительное напряжение рядов и другие шумы импульсных источ­
шума (которое складывается с постоянно
ников имеют широкий спектр и всплески
присутствующим напряжением теплово­
амплитуды. Другим источником помех
го шума), пропорциональное протекаю­
являются радио- и телепередающие стан­
щему через резистор постоянному току.
ции (особенно серьезна эта проблема
Этот шум зависит от многих факторов,
вблизи больших городов), окружающее
связанных с конструкцией конкретного
электрооборудование, моторы, лиф ты ,
резистора, включая резистивный матери­
метро, выключатели, переключательные
ал и особенно концевые соединения. Вот стабилизаторы, телевизоры. Все эти про­
типичные значения избыточного шума блемы существуют в слегка измененном
различных типов резисторов, выраженные
виде во всех тех случаях, когда что-нибудь
в микровольтах на вольт приложенного к
влияет на измеряемый вами параметр. На­
резистору напряжения (приводится сред­
пример, оптический интерферометр вос­
неквадратичное значение, измеренное на
приимчив к вибрации, а на чувствитель­
одной декаде частоты): ные измерения радиочастот (например в
Углеродно-композитные От 0,10 до 3,0 мкВ ЯМР-спектроскопии) может повлиять вне­
Углеродно-пленочные От 0,05 до 0,3 мкВ шний радиочастотный сигнал. М ногие
Металлопленочные От 0,02 до 0,2 мкВ схемы, равно как детекторы или даже ка­
Проволочные От 0,01 до 0,2 мкВ бели, чувствительны к вибрациям и звуку,
Этот шум имеет спектр, примерно опи­ и они, по торговой терминологии, стра­
сываемый зависимостью 1/ / (постоянная дают «микрофонным эффектом».
мощность на декаду частоты) и иногда От многих из этих источников шума
называется «розовым шумом». Шум, воз­ мож но отделаться путем тщ ательного
458 Глава 7

экранирования и фильтрации, как будет имеет спад уш в 3 дБ/октава. Часто ис­


сказано в этой главе ниже. Иногда при­ пользуется среднее значение квадрата
ходится принимать соверш енно драко­ плотности шума у2. Поскольку уш всегда
новские меры, включая монолитные камен­ относится к среднеквадратичному значе­
ные столы (для виброизоляции), комнаты нию, а у2 — к среднему значению квадра­
с постоянной температурой, звукопог­ та, для получения у2 достаточно возвести
лощающие камеры и комнаты с электри­ в квадрат уш. Это звучит просто (и по сути
ческой экранировкой. просто), но мы хотим быть уверены, что
вы не запутаетесь.
7.12. Отношение сигнал/шум и коэффици­ Заметьте, что величины В и В 1/2 явля­
ент шума ются множителями для перехода от вели­
чин, обозначаемых строчными буквами,
Перед тем к ак начать детальное р ас­ к величинам, обозначаемым прописны ­
смотрение шума усилителя и проектиро­ ми буквами. Н апример, для теплового
вания малошумящих схем, нам нужно шума резистора R имеем
определить несколько терминов, которые = (4*7*)'* В/Гц|/2,
часто употребляются для описания шумо­
вых характеристик усилителей. Речь идет v2R = 4kTR ВУГц,
о количественных показателях напряже­ ^ = ^ r 5 '2 = (UTRB)'* В,
ний шумов, измеренных в одной и той же
точке схемы. Обычно напряжения шумов и 2.
ш эфф
= v \RB = 4kTRBB2.
lu

приводятся ко входу усилителя (хотя изме­ В данных изготовителя даются графи­


рения обычно производятся на выходе), ки уш или у^, соответственно в единицах
т. е. шумы источника сигнала и усили­ «нановольт на корень из герца» или «вольт
теля описываются через эквивалентные в квадрате на герц». Величины еш и /ш,
напряж ения шумов на входе, которые которые скоро будут введены, использу­
могли бы дать на выходе наблюдаемый ются точно так же.
шум. Это имеет смысл тогда, когда вы При сложении двух некоррелированных
хотите оценить относительный шум, до­ сигналов (два шума или сигнал и шум)
бавленный усилителем к шуму источника складываются квадраты амплитуд: v =
сигнала, независимо от коэф ф ициента = (vc2+ vj)W где v —эффективное (средне­
усиления; это вполне практично, так как квадратичное) значение сигнала, полу­
основной шум усилителя обычно порож­ ченного сложением сигнала с эффективным
дается входным каскадом. Если не ого­ значением у и шума с эффективным зна­
ворено противное, напряжение шума все­ чением уш. Эффективные значения нельзя
гда будет отнесено ко входу. суммировать!
Плотность мощности шума и ширина Отношение сигнал/шум. Отношение сиг­
полосы. При рассмотрении теплового и нал/шум (С /Ш ) определяется по формуле
дробового шумов было показано, что ве­
С/Ш = lOlg(U2/U 2) дБ,
личина измеренного напряжения шума
зависит и от полосы частот измерения (чем где для напряжений указаны эффективные
шире смотришь, тем больше видишь), и значения, а ширина полосы и некоторая
от переменных параметров (R w I) самого центральная полоса оговорены, т. е. это
источника шума. Поэтому естественно есть отношение (в децибелах) эффектив­
говорить о среднеквадратичной плотности ного напряжения полезного сигнала к эф ­
напряжения шума уш: ф ективном у напряж ению имею щ егося
шума. «Сигнал» может быть син усои ­
= VJ ' /2 = (4kTR)'/2B'/2, дальным или несущей частотой с моду­
где £Ушw - среднеквадратичное напряже­ ляцией или даже шумоподобным сигна­
ние шума, измеренное в полосе ш ири­ лом. Если сигнал имеет узкополосны й
ны В. У источника белого шума ушне зави­ спектр, то существенно, в какой полосе
сит от частоты, а розовый шум, например, измеряется отношение С /Ш , так как оно
Прецизионные схемы и малошумные аппаратуры 459

падает, если полоса измерений становит­ Коэффициент шума —удобная характе­


ся шире полосы, содержащей спектр сиг­ ристика качества усилителя, если при за­
нала: с расш ирением полосы энергия данном активном сопротивлении источ­
шума увеличивается, а энергия сигнала ника вы хотите сравнить усилители (или
остается постоянной. транзисторы, для которых также опреде­
Коэффициент шума. Любой реальный ляется КШ ). Коэффициент шума изме­
источник сигнала или измерительны й няется с изменением частоты и сопро­
прибор генерирует шум из-за наличия те­ тивления источника, поэтому он часто
плового шума во внутреннем сопротив­ задается графически в виде линий уровня
лении источника (реальная часть комплек­ КШ относительно частоты и RK. Он мо­
сного полного сопротивления). Конечно, жет быть указан также в виде набора гра­
могут быть и дополнительные источники фиков его зависимости от частоты —одна
шума от других причин. Коэффициент кривая на каждое значение тока коллек­
шума (КШ) усилителя - это просто отно­ тора или аналогичного набора граф и­
шение в децибелах выходного сигнала ре­ ков зависимости КШ от Ли - также одна
ального усилителя к выходному сигналу кривая на каждое значение тока коллек­
«совершенного» (бесшумного) усилителя тора. Обратите внимание на следующее.
с тем же коэффициентом усиления; вход­ Приведенная выше формула для КШ вы­
ным сигналом в обоих случаях является ведена в предположении, что полное вход­
тепловой шум подключенного ко входу ное сопротивление усилителя во много раз
усилителя резистора: больше полного сопротивления источни­
КШ = 10 lg[(4£7tf + v^)/4kTR] = ка, т. е. ZBX » RK. Однако в особом слу­
чае для усилителей радиочастоты мы
= 10 lg(l + vl)/AkTRKдБ, обычно имеем R И = Z ВХ = 5 0 Ом,’ и КШ
где v2 —средний квадрат напряжения шу­ определен соответствую щ им образом.
ма на герц, даваемого усилителем с бес­ В этом специальном случае согласован­
шумным (холодным) резистором /?и на ных полных сопротивлений необходимо
входе. Значение Ли существенно, так как просто убрать коэффициент 4 в предыду­
напряжение шума, порождаемого усилите­ щих выражениях.
лем, как вы вскоре увидите, сильно зави­ Огромное заблуждение: не пытайтесь
сит от сопротивления источника (рис. улучшить положение добавлением после­
7.40). довательного резистора к источнику сиг­
нала для попадания в область минималь­
ного КШ . Все, чего вы добьетесь, стара­
ясь, чтобы усилитель выглядел лучше, -
это добавите шума в источник! К оэффи­
циент шума может быть весьма обманчив
в этом случае; обманчив он еще и потому,
что спецификация КШ (например 2 дБ)
для биполярного или полевого транзис­
тора всегда дается при оптимальной ком­
бинации RK и / к (/с). Об истинных рабо­
чих характеристиках эта величина говорит
мало, кроме разве того, что изготовитель
считает полезным похвастаться малой
величиной КШ.
Вообще говоря, при оценке характерис­
тик усилителя легче всего не запутаться,
«, 0м если придерживаться отнош ения С /Ш ,
Рис. 7.40. Зависимость эффективного напряжения подсчитанного для данного напряжения
шума от коэффициента шума и сопротивления ис­ и полного сопротивления источника. Вот
точника. (National Semiconductor Corp.). как надо перейти от КШ к отношению
460 Глава 7

С/Ш: поскольку усилитель имеет шумы. Теперь


С/Ш = 10 \g(vl/4kTRu) - КШ (дБ) представьте конструкцию рис. 7.41 б, в
которой мы волшебным образом сделали
(при /?„), усилитель бесшумным и привели источник
где vc - среднеквадратичная амплитуда R K к некоторой температуре Тш такой,
сигнала, R - полное сопротивление ис­ что выходное напряжение шума стало та­
точника, а КШ —коэффициент шума уси­ ким же, как и на рис. 7.41 а. Тш называется
лителя при данном Ли. температурой шума данного усилителя для
Температура шума. Иногда вместо ко­ полного сопротивления источника Ru.
эффициента шума для выражения шумо­ Как мы отмечали ранее, коэффициент
вых характеристик усилителя использует­ шума и температура шума представляют
ся температура шума. Оба способа несут собой просто разные способы выражения
одну и ту же информацию, а именно до­ одной и той же информации. В самом
полнительный вклад в шум усилителя, деле, можно показать, что они связаны
возбуждаемого источником сигнала с пол­ друг с другом следующими соотнош е­
ным сопротивлением Ли; в этом смысле ниями:
они эквивалентны. Т = 7’(ю кш<дБ>/|° — 1),
Взгляните на рис. 7.41, чтобы понять, КШ(дБ) = 10 \g{TJT+ \),
как работает температура шума: вначале
вообразим себе, что имеется реальный где Т — температура окружающей среды,
(шумящий) усилитель, подключенный к обычно принимаемая равной 290 К.
бесшумному источнику с полным сопро­ Вообще говоря, хорошие малошумящие
тивлением R K (рис. 7.41 а). Если вы усилители имеют температуру шума гораз­
затрудняетесь представить бесш умный до ниже комнатной (или это эквивалент­
источник, вообразите резистор с сопро­ но тому, что коэффициент шума у них
тивлением Ли, охлаж денный до аб со­ много меньше 3 дБ). Позже в этой главе
лютного нуля. Однако, хотя источник и мы объясним, как можно измерить коэф ­
бесшумный, на выходе будет некоторый шум, фициент (или температуру) шума усили­
теля. Вначале, однако, нам нужно разоб­
Реальный раться в шумах транзисторов и методах
(с шумами) проектирования малошумящих схем. Мы
надеемся, что последующие рассуждения
прояснят то, что часто покрыто мраком
непонимания.
Мы уверены, что, прочитав следующие
два раздела, вы никогда больше не будете
введены в заблуждение коэффициентом
шума!
а
7.13. Шум тока и напряжения
транзисторного усилителя

Ш ум, порождаемый усилителем, легко


описать с помощью простой модели, до­
статочно точной для многих целей. На
рис. 7.42 еш обозначает источник шума
напряжения, последовательный по отно­
шению к входному сигналу, а /ш обозна­
чает шум входного тока. Транзистор (и
вообще усилитель) предполагается бес­
6
шумным и просто усиливает напряжение
Рис. 7.41. входного шума, которое приходит к нему.
Прецизионные схемы и малошумные аппаратуры 461

К На рис. 7.43 для иллюстрации приведе­


ны кривые зависимости еш и /ш от / к и /
для 2N5087. Сейчас мы постараемся вник­
нуть в некоторые детали, описывая эти
величины и демонстрируя, как вести про­
ектирование для минимизации шума. Сто­
ит отметить, что шум напряжения и тока
для транзистора лежит в диапазоне нано­
вольт и пикоампер на корень из герца.
Ш ум напряжения еш. Эквивалентный
генератор шумового напряжения рассмат­
ривают как включенный последователь­
но с базой транзистора. Этот генератор
Рис. 7.42. Модель шумов транзистора. представляет сумму теплового шума, по­
рожденного объемным сопротивлением
Таким образом, усилитель дает полное базы г6, и дробового шума коллекторного
напряжение шума еу, которое, будучи от­ тока, порождающего шум напряжения на
несено ко входу, равно дифференциальном сопротивлении эмит­
e , ^ = K + (K L Y V /2 в /Г н 1'2. тера гэ. Эти два слагаемых имеют следу­
ющий вид:
Два слагаемых в скобках - это просто
входное напряжение шума и напряжение е2
ш
= 4к Trб + 2 ^q l КKr Э2=
шума, порождаемое прохождением шума = АкТгь + 2 ( k T) 2/ ( q I K) В2/Гц.
входного тока усилителя через сопротив­
ление источника. Так как эти два шума Они являю тся гауссовскими белыми
обычно не коррелированы, то, складывая шумами. В дополнение к этому существует
квадраты их амплитуд, получим эффек­ некоторый фликкер-шум, порожденный
тивное напряжение шума, поступающего на прохождением тока базы через гь. Он
усилитель. П ри малом сопротивлении существен только при больших токах ба­
источника преобладает шум напряжения зы, т. е. при больших токах коллектора.
еш, а при большом — шум тока /ш. Поэтому величина еш постоянна в боль­
шом диапазоне значений тока коллектора;
она увеличивается при малых токах (дро­
бовой шум тока через возрастающее со­
противление гэ) и при достаточно боль­
ших токах (шум фликкер-эффекта от про­
хождения / Б через г6. Последний эффект
существен только н а н и зки х частотах
из-за зависимости 1 // Например: на час­
тотах свыше 10 кГц у 2N5087 еш равно
5 н В /Г ц '/2 при / к = (10 мкА и 2 н В /Г ц 1'2
при / к = 100 мкА. На рис. 7.44 показаны
кривые зависимости ешот частоты и тока
для малош умящ ей диф ф еренциальной
прп-пары LM394 и малошумящего 2SD786
производства фирмы Toyo-Rohm. В послед­
нем используется специальная геометрия для
г«-мА достижения необычайно низкого г6 = 4 Ом,
Рис. 7.43. Зависимость эквивалентного среднеквад­
что позволяет получить самые низкие на се­
ратичного входного напряжения шума еш и входно­ годня значения еш.
го тока шума /шот коллекторного тока для п/)я-тран­ Ш ум тока /ш. Ш умовой ток следует
зистора 2N5087. (Fairchild Camera and Instrument учитывать, так как он порождает допол­
Corp.). нительный шум напряжения на полном
462 Глава 7

сопротивлении источника сигнала. Основ­


ным источником шума тока являю тся
флуктуации дробового шума в устано­
вившемся токе базы, складывающиеся с
флуктуациями за счет фликкер-шума в г6.
Вклад дробового шума — это шум тока,
возрастающий пропорционально корню
квадратному из / Б (или / к) и имеющий
плоский частотный спектр, в то время как
составляющая фликкер-шума растет с / к
быстрее и имеет обычную частотную за­
Рис. 7.44. Зависимость входного напряжения шума еш висимость вида 1 // Взяв опять для при­
от коллекторного тока для двух малошумящих бипо­ мера 2N5087 на частотах свыше 10 кГц,
лярных транзисторов. имеем / около 0,1 п А /Г ц |/2 при / к =
= 10 мкА и 0,4 пА /Гц|/2 при / к = 100 мкА.
Шум тока растет, а шум напряжения спа­
дает при увеличении / к. В следующем
разделе мы увидим, как это обстоятель­
ство определяет выбор значений рабочих
токов в малошумящих схемах. На рис.
7.45 показаны графики зависимости /ш от
частоты и тока для малошумящей пары
LM394.

7.14. Проектирование малошумящих


схем на биполярных транзисторах

Факт, что еш падает, а /ш растет с ростом


тока / к, дает возможность оптимизиро­
вать рабочий ток транзистора для полу­
чения минимального шума при данном
источнике сигнала. Снова взглянем на
модель (рис. 7.46). «Бесшумный» источ­
ник си гн ала ии имеет добавку в виде
генератора напряжения шума (теплового
шума его внутреннего сопротивления)
еКи = 4&77?и В2/Г ц. Усилитель добавляет
сюда свой собственный шум:
е2=
у
е Ш2 + (/ R )2 В2//Гц.
' ■ШИ7
Частота, Гц
Таким образом, напряжение шума уси­
Рис. 7.45. Входной ток шума для биполярного тран­
лителя добавляется к входному сигналу и
зистора LM394. а — зависимость от тока коллекто­
ра; 6 - зависимость от частоты. кроме того шум тока усилителя порож­
дает шум напряжения на внутреннем со­
противлении источника. Эти два шума не
коррелированы (за исклю чением очень
высоких частот) и их квадраты складыва­
ются. Наша цель — как можно сильнее
уменьшить общий шум усилителя. Это
легко сделать, если известно RK, так как
достаточно посмотреть на зависимость еш
Рис. 7.46. Модель шумов усилителя. и /ш от / к на частотах сигнала и выбрать
Прецизионные схемы и малошумные аппаратуры 463

/ к, минимизирующее еш + (iwR„)2- Если


вам повезло и у вас есть карта линий уров­
ня коэффициента шума на поле / к и Яи,
то вы быстро сможете определить опти­
мальное значение / к.
Пример расчета коэффициента шума. Для
примера предположим, что у нас есть
малый сигнал с частотой около 1 кГц,
сопротивлением источника около 10 кОм
Частота, Гц
и мы хотим построить усилитель на базе
2N5087. Из кривых еш — /ш(рис. 7.47) Рис. 7.48. Зависимость коэфициента шума (КШ)
можно видеть, что сумма вкладов напря­ от частоты для трех значений / к и RKу транзистора
жения и тока (при сопротивлении источ­ 2N5087. (Fairchild Camera and Instrument Corp.).
ника 10 кОм) будет минимальной при £/кэ= ~ 5B; 1 —/ к = 500 мкА, Ди = 1,0 кОм; 2 — /к =
токе коллектора 1 0 - 2 0 мкА. Так как с = 250 мкА, RK = 5 кОм; 3 —/ к = 20 мкА, Rti = 10 кОм.
уменьшением / к шум тока падает быст­
рее, чем растет шум напряжения, разум­ = 20 мкА, Яи = 10 кОм. Указанный вы­
но использовать несколько меньший ток бор коллекторного тока прим ерно со­
коллектора, особенно если предвидится впадает также с результатом, который
работа на более низких частотах (/шрезко можно было бы получить из графика рис.
растет при уменьшении частоты). Мож­ 7.47 (линии уровня коэффициента шума
но независимо оценить коэффициент шума, при частоте 1 кГц), хотя реальный коэф ­
используя значения /ши ешна частоте 1 кГц: фициент шума по этим линиям оценить
трудно - можно только сказать, что он
КШ = 101g {1 + [еш + 0 шЛи)2]/(4677?и)} дБ. меньше 2 дБ.
При I = 10 мкА е = 3,8 н В /Г ц |/2, / = Упражнение 7.5. Найдите оптимальное значение / к
= 0,29пА/Гц1/2, а 4 кТ Я = 1,65- 10-|6В Т ц и соответствующий коэффициент шума при R =
для сопротивления источника 10 кОм; вы­ = 100 кОм и / = 1 кГц, используя график на рис. 7.43.
численный таким образом коэффициент Проверьте ответ по кривым линий уровня коэф­
фициента шума (рис. 7.47).
шума равен 0,6 дБ. Этот результат совпа­
дает с графиком зависимости КШ от час­ Для других схем усилителя (повтори­
тоты (рис. 7.48) при выборе кривой / к = тель, усилитель с заземленной базой) ко­
эф ф иц и ен т шума при данны х Ли и / к
будет в сущности тот же самый, посколь­
ку еш и /ш не изменяются. Конечно, уси­
литель с единичным коэффициентом уси­
ления (повторитель) просто «передает»
проблемы уменьшения шума следующе­
му каскаду, так как сигнал не будет уси­
лен до такой степени, которая позволяет
не думать о снижении шумов в следую­
щих каскадах.
Графический метод оценки шума усили­
теля по еш и 1ш. Только что представленная
техника расчета шумов, хотя и ведет не­
посредственно к получению результата,
однако не исключает возможности появ­
ления в процессе проектирования ужасных
Рис. 7.47. Линии уровня коэффициента узкополос­
ошибок. Достаточно, например, поста­
ного шума для транзистора 2N5087. (Fairchild Camera вить не на то место постоянную Больцма­
and Instrument Corp.). UK3 = — 5 B; / = 1,0 кГц, на, и мы вдруг получаем усилитель с ко­
ширина полосы 150 Гц. эффициентом шума 10 000 дБ! В этом
464 Глава 7

Рис. 7.49. Зависимость напряжения


входного шума усилителя е как суммы
параметров еши (ш/^ от сопротивления
источника сигнала. Шум для входно­
го каскада LM394 на частоте 1 кГц
при / к = 50 мкА; еш = 2,5 нВ/Гц|/2;
/ш= 0,16 пА/Гц|/!; Яш= e j i m= 15 кОм.

разделе мы опишем очень полезную уп­ фициент шума меньше 3 дБ будет при со­
рощенную технику оценки шума. противлении источника между 300 Ом и
Метод состоит в том, что сначала вы­ 500 кОм, —точки, в которых линия уров­
бирается интересующая нас частота, что­ ня коэффициента шума 3 дБ пересекает
бы можно было выбрать из паспортных график шума усилителя.
данных транзистора значения ет и /шв за­ Следующий шаг — построение других
висимости от / к. Затем при заданном токе кривых шума на том же графике при раз­
коллектора строится график зависимости личных токах коллектора и частотах, а
еу (как суммы вкладов еш и г в шум) от возможно и для других типов транзисто­
сопротивления источника Ли. На рис. 7.49 ров, с целью оценки параметров усили­
показано, как он выглядит при частоте теля. Перед тем как двигаться в этом на­
1 кГц для дифференциального входного правлении дальше, покажем, как можно
каскада, использующего согласованную к одному и тому же усилителю применять
транзисторную пару LM394 со сверхвы­ два различных параметра, характеризую­
соким р, работающую при коллекторном щие шум: шумовое сопротивление Rm и
токе 50 мкА. Шум напряжения еш посто­ коэффициент шума КШ (при R J , кото­
янный, а напряжение гшЛи возрастает про­ рые оба получаются непосредственно из
порционально Ru, т. е. с наклоном 45°. графиков.
Линия шума усилителя строится так, как Шумовое сопротивление. Наименьший
показано на рисунке, надо причем тщ а­ коэффициент шума в этом примере по­
тельно следить за тем, чтобы она прохо­ лучается, когда сопротивление источника
дила через точку на 3 дБ (отнош ение 15 кОм, что равно отношению еш к /ш. Так
напряжений около 1,4) выше точки пе­ определяется ш умовое сопротивление
ресечения отдельно построенных линий Rm = e j i w. Коэффициент шума источни­
шума напряжения еш и тока imRK. Кроме ка с таким сопротивлением находится из
того, строится линия напряжения шума со­ приведенного ранее выражения:
противления источника, которая оказы­
вается линией уровня коэффициента шума КШ (при R J =
3 дБ. Другие линии уровня КШ — это пря­ = 10 lg [l+ 1,23 х 10м (e l/R J ] д Б *
мые, ей параллельные, как вскоре будет по­ * 0,2 дБ.
казано на примерах.
Наилучший коэффициент шума (0,2 дБ) Шумовое сопротивление не существует
при этом коллекторном токе и этой час­ реально в транзисторе или где-то еще.
тоте наблюдается при сопротивлении ис­ Это параметр, который помогает быстро
точника 15 кОм, и легко видеть, что коэф­ определить сопротивление источника, да­
Прецизионные схемы и малошумные аппаратуры 465

Рис. 7.50. Полное напряжение входного шума


е усилителя на биполярном транзисторе
LM394 при различных условиях в сравне­
нии с ПТ с р—п-переходом 2N6483. Мо­
нолитная согласованная пара ири-биполяр-
ных транзисторов LM394 при 1 кГц и /к =
= 1 мкА-ь 10 мА.

ющее минимальный коэффициент шума, ной борьбы сражаться двум командам


так что в идеале надо менять ток коллек­ N a tio n al S em ico n d u cto r, вы б рав двух
тора таким образом, чтобы подогнать Rm единоборцев.
как можно ближе к реальному сопротив­ Итак, в биполярном углу — великолеп­
лению источника. Rm отвечает точке, в ный монолитный LM394 —согласованная
которой пересекаются графики еши /ш. пара со сверхвысоким р, - уже готовый
Коэффициент шума для сопротивления к состязаниям (см. выше). Он работает
источника, равного Rm, находится по при­ на частоте 1 кГц с током коллектора от
веденной выше формуле. 1 мкА до 1 мА (рис. 7.50).
Альтернатива: биполярный транзистор Команда ПТ представлена монолитной
или ПТ. Давайте поиграем с этой мето­ парой согласованных я-канальных ПТ с
дикой. П остоянны м яблоком раздора р —я-переходом 2N6483, знаменитой сво­
среди инженеров является вопрос о том, им потрясающе низким уровнем шумов и
что «лучше»: биполярные или полевые превосходящей, как принято считать, по
транзисторы? Мы покорно предоставим этим параметрам биполярные транзисто­
решение этого вопроса единоборству луч­ ры. Согласно паспортным данным, она
ших представителей двух состязающихся рассчитана только на диапазон тока сто­
сторон. Мы позволим в интересах чест­ ка от 100 до 400 мкА (рис. 7.51).

Рис. 7.51. Полное напряжение входного


шума для ПТ с р —я-переходом 2N6483 в
сравнении с биполярным транзистором
LM394. Монолитная согласованная пара
л-канальных ПТ с р —я-переходом 2N6483
при 1 кГц и /с = 100 * 400 м»А, Ua = 2 + 25 В.
466 Глава 7

Кто же победитель? Решение оказывает­ ных значениях полного сопротивления


ся двойственным. Полевой транзистор на­ источника и частоты можно получить даже
бирает очки по минимизации коэффици­ лучшие рабочие параметры.
ента шума КШ(Лщ), достигая феноменаль­ Малое полное сопротивление источника.
ного значения 0,05 дБ и держась намного Б и п о л я р н о -тр ан зи с то р н ы е усилители
ниже 0,2 дБ при полном сопротивлении ис­ обеспечивают очень хорошие шумовые
точника от 100 кОм до 100 МОм. В обла­ параметры в диапазоне полного сопротив­
сти больших сопротивлений источника ПТ ления источника от 200 Ом до 1 МОм;
непобедимы. Биполярные транзисторы соответствующий оптимальный ток кол­
опережают при малых сопротивлениях ис­ лектора лежит обычно в диапазоне от не­
точника, в частности меньших 5 кОм, и скольких миллиампер до 1 мкА, т. е. токи
могут достигать 0,3 дБ КШ при Ли = 1 кОм коллектора, используемые во входном
при соответствующем выборе тока коллек­ каскаде малош умящ его усилителя, н е­
тора. Для сравнения: ПТ имеют КШ при сколько меньше, вообще говоря, чем в
сопротивлении источника 1 кОм не лучше не оптимизированных по уровню шума
2 дБ из-за большего шума напряжения еш. усилительных каскадах.
Как и в боксе, где быть лучшим в драке При очень малых полных сопротивле­
еще не означает иметь шанс на участие ниях источника (например 50 Ом) всегда
в чемпионате мира, так и здесь имеются будет преобладать шум напряжения тран­
несколько юных претендентов на звание зистора и коэффициент шума будет не­
лучшего малошумящего транзистора. На­ удовлетворительным. В этом случае луч­
пример, в комплементарных ПТ с р - п - ше всего использовать трансформатор для
переходом 2SJ72 и 2SK147 фирмы Toshiba увеличения уровня (и сопротивления ис­
используется ячеистая геометрия затвора, то ч н и к а ) си гн ал а, р ассм атр и в ая при
что позволяет получить феноменально этом сигнал на вторичной обмотке как
низкое значение еш 0,7 нВ /Г ц|/2 при / с = сигнал источника. Высококачественные
= 10 мА (это эквивалентно тепловому преобразователи сигнала выпускаю тся
шуму 30-омного резистора!). Но ведь это ф и р м ам и Jam es и P rin c e to n A pplied
ПТ с их малым входным током (и по­ Research. Например, выпускаемая после­
этому малым /ш), а отсюда и то, что шу­ дней фирмой модель ПТ-предусилителя
мовое сопротивление примерно равно 116 имеет такие шумы напряжения и тока,
10 кОм. При использовании их в усили­ что наименьший коэффициент шума на­
теле при сопротивлении источника, рав­ блюдается при полном сопротивлении
ном их шумовому сопротивлению (т. е. источника сигнала около 1 МОм. Сигна­
при /?и = 10 кОм), эти транзисторы не­ лы частоты 1 кГц с полным сопротивле­
победимы - температура шума составляет нием источника порядка 100 Ом плохо
всего 2 К! согласуются с таким усилителем, так как
Перед тем как бежать покупать мешок шум напряжения усилителя будет намно­
этих замечательных ПТ, выслушайте не­ го больше теплового шума источника сиг­
сколько критических замечаний, которые нала; в результате, если такой сигнал по­
заставят усомниться в безграничности их дать прямо на усилитель, коэффициент
возможностей, — эти ПТ имеют высокую шума будет равен 11 дБ. Если же исполь­
входную емкость и большую емкость об­ зовать встроенный (необязательный) по­
ратной связи (85 и 15 пФ соответственно), вышающий трансформатор, то уровень
что делает их ограниченно годными на сигнала повышается вместе с полным со­
высоких частотах. Их родственник 2SK117 противлением источника, превышая шум
в данном отношении лучше, но у него напряжения усилителя, и коэффициент
выше еш. Те же критические аргументы шума становится равным 1,0 дБ.
справедливы для биполярных ком пле­ На радиочастотах, начиная, к примеру,
ментарных пар 2SD786 и 2SB737 фирмы п риблизительно от 100 кГц, хорош ий
Toyo-Rohm, у которых при ешпо крайней трансформатор сделать довольно легко как
мере не выше 0,55 нВ /Г ц|/2 при умерен­ для «настраиваемых» (узкополосны х),
Прецизионные схемы и малошумные аппаратуры 467

так и для широкополосных сигналов. При


таких частотах легко построить «транс­
форматорную линию передачи» с широ­
кой полосой частот и очень хорошими
параметрами. Некоторые пути для этого
мы рассмотрим в гл. 13, т. 2. А вот на
низких частотах (звуковых и ниже) при­
менение трансформаторов проблематично.
Три замечания: (а) Напряжение растет
пропорционально отношению числа вит­
ков в обмотках, а полное сопротивление —
пропорционально квадрату этого отно­
шения. Поэтому выходное полное сопро­
тивление трансформатора, повышающе­
го напряжение в два раза, превосходит Сопротивление, Ом
входное полное сопротивление в четыре Рис. 7.52. Зависимость плотности напряжения тепло­
раза (за счет зап асан ия энерги и ), (б) вого шума от сопротивления при температуре 25 °С.
Т рансф орматоры несоверш енны . При Показана также плотность тока шума в режиме ко­
низких частотах сигнала может доставить роткого замыкания.
хлопоты магнитное насыщение, при вы­
соких — емкость и индуктивность обмо­ Хотя у него шум напряж ения обычно
ток, и всегда наблюдаются потери из-за больше, чем у биполярного транзистора,
магнитных свойств сердечника и сопро­ но ток затвора и его шум исчезаю щ е
тивления обмоток. Последнее к тому же малы, поэтому ПТ являются идеальными
является источником теплового шума. устройствами для усилителей, работаю­
Тем не менее при работе с источником щих с источником сигнала с большим
сигнала, имеющим очень малое полное полным сопротивлением и дающих м а­
сопротивление, выбора у вас нет, а при­ лый шум. В связи с этим иногда полез­
менение трансформатора, как показыва­ но трактовать тепловой шум как шум тока
ет предыдущий пример, дает огромный /ш = еш/Я и что п озволяет сравн и вать
выигрыш. Чтобы улучшить режим рабо­ вклад шума источника и шум тока усили­
ты с малым уровнем сигнала и малым теля (рис. 7.52).
сопротивлением его источника, можно
применять и экзотическую аппаратуру вро­ 7.15. Шум ПТ
де охлаждаемых трансформаторов, сверх­
проводящих трансформаторов, а также Для ПТ можно использовать ту же мо­
С К И П (С верхпроводящ ие К вантовы е дель шума усилителя, что и для биполяр­
Интерференционные Приборы). С помо­ ного транзистора, т. е. последовательно
щью СКИПов можно измерять напряже­ со входом соеди н ить и сточ н и к шума
ние порядка 10“15 В! (в) И снова предуп­ напряжения и параллельно присоединить
реждаем: не пытайтесь улучшить режим ра­ источник шума тока. При этом анализи­
боты, добавляя последовательный резистор ровать шумовые параметры можно так же,
к источнику сигнала с малым полным со­ как это делалось для биполярных транзи­
противлением. Вы просто окажетесь оче­ сторов (см., например, рис. 7.51 в разде­
редной жертвой распространенного заблуж­ ле, посвященном сравнению ПТ и бипо­
дения насчет коэффициента шума. лярных транзисторов).
Большое полное сопротивление источ­ Шум напряжения ПТ с />-л-переходом.
ника. При больших значениях полного Для ПТ с р —и-переходом шум напряже­
сопротивления источника, превыш аю ­ ния есть, в сущности, тепловой шум со­
щих, скажем, 100 кОм, преобладает шум противления канала, который прибли­
тока транзистора, и лучшим устройством женно описывается формулой
для усиления с малыми шумами будет ПТ. el = 4 k m m / g J ] В У Г ц,
468 Глава 7

где величина, обратная крутизне, играет например, чтобы исключить эффект М ил­
роль сопротивления в формуле теплового лера (умножение за счет коэффициента
шума. Так как крутизна растет вместе с усиления) относительно Сзс, можно при­
током стока (как ,/Т ^ ), для снижения менить каскодную схему.
шума напряжения имеет смысл, чтобы ПТ МОП-транзисторы обычно имеют на­
работали с большим током стока. Однако, много большие значения шума напряже­
поскольку ешпредставляет собой тепловой ния, чем ПТ с />-«-переходом, причем
шум, пропорциональный l/-y /i^ , а кру­ преобладает шум 1//, так как спад 1/ /
тизна, в свою очередь, пропорциональна лежит у них в диапазоне достаточно высо­
Д Г , то еш в конечном счете пропор­ ких частот: от 10 до 100 кГц. По этой
циональна / “|/4. При столь слабой зави­ причине МОП-транзисторы обычно не ис­
симости ешот / с не следует сильно увели­ пользуют в малошумящих усилителях на
чивать ток стока, так как это ухудшит дру­ частотах, меньших 1 МГц.
гие параметры усилителя. В частности, Ш ум тока П Т с /-«-переходом. На низ­
ПТ, работая при большом токе, нагрева­ ких частотах шум тока /ш крайне мал; он
ется, что (а) уменьшает gm, (б) увеличи­ возникает из дробового шума тока утечки
вает дрейф напряжения сдвига и КОСС и затвора (рис. 7.53):
(в) драматическим образом увеличивает
W = (3 ,2 -1 0 -’/ ^ А.
ток утечки затвора; последний эффект
фактически может увеличить шум напря­ Кроме того, в некоторых ПТ присутствует
жения за счет некоторого вклада в еш ком понента ф ликкер-ш ума. Ш ум тока
фликкер-шума, связанного с током утеч­ растет с ростом температуры, как ток
ки затвора. утечки затвора. Обратите внимание на
Существует другой путь для увеличения быстрый рост утечки затвора у я-каналь­
gm, а тем самым для уменьшения шума ного ПТ с />-я-переходом, при больших
напряжения ПТ с р - «-переходом: вклю­ значениях UCJ (см. разд. 3.09).
чив параллельно два ПТ, мы будем иметь На средних и высоких частотах есть еще
вдвое большую g , при этом, конечно, одна компонента шума, а именно дейст­
удваивается и 1С Однако теперь, если мы вительная часть входного полного сопро­
сохраним предыдущее значение / с, то при тивления со стороны затвора. Эта состав­
этом мы все же получим увеличение gm ляющая обусловлена действием емкости
в >/2 раз. На практике мы можем просто обратной связи (эффектом Миллера) при
включить в параллель несколько согласо­ сдвиге фазы на выходе, порожденном ем-
ванных ПТ с р п -переходом либо обра­
титься к ПТ с усложненной геометрией,
таким как упомянуты е выше 2SJ72 и
2SK147.
При этом, однако, приходится платить.
Все емкости соединяемых параллельно
ПТ складываются, в результате чего вы­
сокочастотные характеристики (включая
коэф ф и ц и ен т шума) ухудш аются. На
практике подключение дополнительных
транзисторов необходимо прекратить,
как только входная емкость схемы сравня­
ется с емкостью источника. Если вас вол­
нуют характеристики на высоких часто­
тах, выбирайте ПТ с большой gm и малой
Сj • можно рассматривать отнош ение
g j Сзс как меру качества на высоких час­ Рис. 7.53. Зависимость входного тока шума от тока
тотах. Следует отметить, что важную роль утечки затвора для ПТ с р-я-переходом. (National
может играть также конфигурация схемы; Semiconductor Corp.).
Прецизионные схемы и малошумные аппаратуры 469

костью нагрузки; иначе говоря, часть вы ­


ходного сигнала, сдвинутая по фазе на
90°, проходя через емкость обратной свя­
зи Сзс, создает эффективное сопротивле­
ние на входе
R = (1 + v C R j M % C , cR>) Ом.
Например, /ьканальный ПТ с р —я-перехо­
дом 2N5266 имеет ток шума 0,005 пА/Гц|/2 и
напряжение шума еш 12 н В /Г ц |/2 - то
и другое при /СИнас и на частоте 10 кГц.
Ток шума начинает ползти вверх при
частоте около 50 кГц. Эти значения при­
мерно в 100 раз лучше по /ши в 5 раз хуже
по еш, чем соответствующие значения рас­
смотренного ранее 2N5087.
С помощью ПТ можно получить хоро­
шие шумовые параметры в диапазоне
полного сопротивления от 10 кОм до
100 МОм. Предусилитель фирмы PAR
модели 116 имеет коэффициент шума 1 дБ
и лучше при полном сопротивлении ис­
точника от 5 кОм до 10 МОм в диапазоне
частот от 1 до 10 кГц. Этот предусили­
тель на умеренных частотах имеет н а­
пряжение шумов 4 н В /Г ц|/2 и ток шумов
0,013 пА/Гц 1/2

7.16. Выбор Ток коллектора, мкА


малошумящих транзисторов

Как упоминалось раньше, биполярные


ю _ 2 N 4 12 4 1К= 1мА
транзисторы из-за малого входного шума
2 N 4 2 5 0 L = 1мА
напряжения имеют наилучшие шумовые
параметры при малых значениях сопро­
тивления источника. Шум напряжения еш
уменьшается путем выбора транзистора с
малым объемным сопротивлением базы < 10 - 2 N42 50 1к = 0,1мА
г6 и режима работы с большим током кол­
лектора (пока hm остается большим). LM 394 1К= 10 м к А ' LM 394 1 = 1 мкА
При больших сопротивлениях источника 10-
надо, наоборот, уменьшать шум тока пу­
тем снижения тока коллектора. _1_
10-
При большом сопротивлении источни­ 10 102 103 104 105
ка лучшим выбором является ПТ. Его Частота, Гц
шум напряжения может быть уменьшен
увеличением тока стока до такого значе­
ния, когда крутизна будет наибольшей. Рис. 7.54. Входные шумы для некоторых популярных
биполярных транзисторов, а - зависимость входного
ПТ, предназначенные для работы в ма­ напряжения шума ешот тока коллектора; б — зависи­
лошумящих устройствах, имеют большое мость входного тока шума /ш от тока коллектора;
значение к (см. разд. 3.04), что обычно в — зависимость входного тока шума от частоты.
470 Глава 7

означает большую входную емкость. На­ " 2N3954-8, 2N5196-9, 2N5452-4, 2N5045-7,
пример, у малошумящего 2N6483 емкость ^ ,___ 2N5545-7
' ---------------10 кГц
Сзи = 20 пФ, а у слаботочного ПТ 2N5902 2N5902-9
100 Гц
емкость С3„ = 2 пФ.
'2 N 5 9 0 2 -9 2N5515-24,
На рис. 7.54 и 7.55 показаны сравни­ ,1 0 кГц 2N6483-5 10 Гц
тельные шумовые характеристики неко­
торых распространенных и широко ис­
пользуемых транзисторов. ‘ 2N5515-24,
_2N 6483-5 10 кГц LM394 10 кГц
2SK147 s ' (биполярный)
7.17. Шум дифференциальных - 2SJ72 1 кГц,
усилителей и усилителей 102 103
с обратной связью 1С, мкА

Малошумящие усилители часто делают 103


МОП-транзистор
дифф еренциальны м и, чтобы получить
обычные преимущества в виде малого
дрейфа и хорошего подавления синфаз­ 10г
ных сигналов. Когда подсчиты ваю тся
шумовые характеристики дифференциаль­ МОП-транзистор
ного усилителя, надо помнить: а) следует 10
убедиться, что для извлечения еш и /ш из
паспорта изготовителя берется отдельный
ток коллектора, а не их сумма; б) /ш, при­
ходящийся на каждый входной зажим, тот
LM394 7К - 1 мА \ 2N5432-34 1 мА Т “
же, что и для одновходового усилителя; (биполярный) \ 2SK147
в) еш, приходящееся на один вход при
2N6483-5, 2N5515-24 1 мА 2SJ72 3 иА
заземленном другом, будет на 3 дБ (т. е. ю- J ___________ I___________ I___________I
в V2 раз) больше, чем в случае отдель­ 10 10г 103 104 105
ного транзистора. Частота, Гц
В усилителях с обратной связью мы
хотим найти эквивалентные источники
шума е и /ш независимо от того, есть ли
цепь обратной связи, чтобы их можно
было использовать, как и раньше, при
подсчете шумовых характеристик с задан­
ным источником сигнала. Обозначим шу­
мы схемы с обратной связью через еу и /
как шумы усилителя. Тогда шум, вноси­
мый усилителем в сигнал при сопротив­
лении источника Ru, будет
е2 = е2 + ( Л /у)2 В2/Гц.
Рассмотрим отдельно два вида обратной
связи.

Неинвертирушщий усилитель. Для не-


инвертирующего усилителя (рис. 7.56)
источники шума на входе будут
Рис. 7.55. Входные шумы некоторых популярных ПТ.
?=& а —зависимость входного напряжения шума ешот тока
еу2= е 2 + 4 *7И„ + (/ШЛ,,)2, стока /с; 6 — зависимость входного напряжения шума
/шот частоты; в —зависимость входного тока шума /ш
где е - это «полный» шум напряжения от частоты.
Прецизионные схемы и малошумные аппаратуры 471

дифференциальной схемы, т. е. на 3 дБ
больший, чем для одиночного транзис­
Частота, Гц
торного каскада. Дополнительный вклад
в шум дают тепловой шум и шум тока
входного каскада в резисторах обратной
связи. Заметим, что теперь эффективные
значения шума напряжения и шума тока
не будут абсолютно не коррелированными,
следовательно, сложение их квадратов м о­
жет привести к ошибке (не более чем
в 1,4 раза).
Для повторителя R2 = 0, поэтому экви­
валентные источники шума будут такими
же, как у отдельно взятого дифференци­
ального усилителя.
Инвертирующий усилитель. Для инвер­
тирующего усилителя (рис. 7.57) источ­
ники входного шума будут следующие:
? = ‘ш + 4kT/Rv
e ; = e i + R ^ + U T /R 2) =

График для выбора ОУ. Сейчас вы уже


владеете всем необходимым аппаратом
для анализа входных цепей ОУ. Их шум б Частота, Гц
задается в виде еш и /ш для биполярных и Рис. 7.5В. Входные шумы некоторых популярных ОУ.
для полевых транзисторов. Вам не надо а - зависимость входного напряжения шума ет от
ничего вьщумывать, надо только их пра- частоты; б - зависимость входного тока шума /ш от
частоты.

j-----1 вильно использовать. Вообще говоря,


паспортны е данны е иногда несколько
пикантны. Например, импульсный шум
(“popcorn noise”) 1' определяется как скач­
ки сдвига в случайные моменты случай­
ной длительности. Этот термин в прилич-

11 Шум лопаюшихся при поджаривании кукурузных


Рис. 7.57. зерен. - Прим. перев.
472 Глава 7

где первое слагаемое — тепловой шум, а


два последних возникают за счет напря­
жения и тока шума ОУ. Очевидно, что
тепловой шум является нижним пределом
отнесенного ко входу шума. На рис. 7.60
даны графики величин еу (при 10 кГц)
как функции Rc для наиболее бесшумных
ОУ, которые мы могли найти. Для срав­
нения мы включили также бескорпусный
ПТ ОУ LF411 и микромощный биполяр­
ный ОР-90. Последний, хотя и является
превосходным микромощным операцион­
ным усилителем, имеет большое напря­
жение шума (входные транзисторы рабо­
Верхняя частота, Гц тают при малом токе коллектора, а отсю­
да высокое значение гэ и, как следствие,
Рис. 7.59. Напряжение широкополосного шума не­ большой тепловой шум), а также боль­
которых популярных ОУ. шой ток шума (биполярный вход имеет
существенный ток базы). Это еще раз под­
ном обществе употреблять не принято. тверждает, насколько действительно хо­
На рис. 7.58 изображены шумовые ха­ роши призеры.
рактеристики нескольких популярных ОУ. Малошумящие предусилители. В допол­
Широкополосный шум. Операционные нение к малошумящим ОУ имеется не­
схемы обычно имеют связь по постоян­ сколько превосходных ИМС малошумящих
ному току, область их рабочих частот про­ предусилителей. В отличие от ОУ они
стирается до некоторой верхней гранич­ обычно имеют фиксированный коэф ф и­
ной частоты f . Поэтому интересно знать циент усиления, хотя в некоторых моде­
полное напряжение шума во всей этой лях можно подключать внешний резистор
полосе, а не просто плотность мощности установки усиления. Иногда их называ­
шума. На рис. 7.59 представлены графи­ ют «видеоусилителями», поскольку они
ки, показывающие среднеквадратичное зачастую имеют полосу пропускания в
напряжение шума в полосе, которая про­ десятки мегагерц, хотя их мож но ис-
стирается от постоянного тока до указан­
ной частоты; они найдены путем интег­
рирования кривых мощности шума для
различных операционных усилителей.
Выбор малошумящего ОУ. Выбрать ОУ,
который минимизировал бы шумы в не­
котором диапазоне частот при данном
сопротивлении источника сигнала Rc, как
он видится со стороны усилителя (т. е.
включающем влияние компонентов обрат­
ной связи, как это было описано выше),
достаточно просто. Вообще говоря, же­
лательны ОУ с малым /шдля больших со­
противлений сигнала и с малым еш для
малых сопротивлений сигнала. Приняв,
что источник сигнала находится при ком­
натной температуре, определим суммар­
ную плотность отнесенного ко входу на­ Сопротивление источника /?„, Ом
пряжения шума как
Рис. 7.60. Полный шум (резистор источника плюс
е2
у
= 4kTRс + еш2 + iш2Rс\’ усилитель при 10 Гц) высококачественного ОУ.
Прецизионные схемы и малошумные аппаратуры 473

пользовать также в низкочастотных схе­ ления по напряжению Ки для сигналов


мах. В качестве примеров можно указать интересующего нас частотного диапазона.
на SL561B фирмы Plessey и несколько Амплитуда их должна быть достаточна,
моделей фирмы Analog Systems. Эти уси­ чтобы сделать незаметным собственный
лители типично имеют еш менее 1 нВ/Гц|/2, шум усилителя, но не настолько велика,
что достигается (ценой повышения вход­ чтобы привести усилитель в насыщение.
ного тока шума /ш) за счет работы вход­ Во-вторых, закорачивается вход усилите­
ного транзистора в режиме относительно ля и измеряется среднеквадратичное на­
большого коллекторного тока. пряжение шума на выходе екз. Получаем
напряжение входного шума на корень из
ИЗМЕРЕНИЕ ШУМА герца по выражению
И ИСТОЧНИКИ ШУМА
= e J W 1) В/Гц1'2-
Определение эквивалентного напряжения где В — ширина полосы измерения (см.
шума, тока шума, а отсюда и коэф ф и­ разд. 7.21).
циента шума и отношения сигнал/шум В -третьих, п рисоед ин и в к входным
для любого заданного источника —доволь­ клеммам резистор R, измеряем новое зна­
но примитивный процесс. Из него полу­ чение среднеквадратичного напряжения
чаются все данные о шуме усилителя, ко­ шума на выходе ег. Значение сопротивле­
торые могут вас интересовать. В основ­ ния резистора должно быть достаточно
ном этот процесс состоит в приложении большим, чтобы была заметна величина
ко входу известного шумового сигнала, а появившегося шума тока, но не настоль­
затем — в измерении амплитуды на выхо­ ко, чтобы доминировало входное сопро­
де в определенной полосе частот. В не­ тивление усилителя. (Если это практически
которых случаях (например, при согласо­ невозможно, то оставьте вход разомкну­
ванном входном полном сопротивлении тым и используйте в качестве R входное
источника и устройства, как это бывает в сопротивление усилителя.) Измеренное
усилителях радиочастоты) источник сиг­ напряжение на выходе удовлетворяет со­
нала можно заменить генератором с точ­ отношению
но известной и управляемой амплитудой е
2 = [ei + 4kTR + а шт в к > ,
колебаний.
Ниже мы обсудим методы и аппарату­ откуда находится /ш
ру, которые понадобятся для измерения /ш = (1i m t / B K D - (е2 + 4kTR)]>/2.
выходного напряжения и ограничения
полосы измерения, а сейчас предполо­ Если «немножко повезет», то иметь зна­
жим, что вы можете измерять эффектив­ чение будет лиш ь первое слагаемое под
ное значение выходного сигнала при той корнем (т. е. шум тока преобладает над
полосе измерений, которую вы выберете. шумом напряжения усилителя и над тепло­
вым шумом резистора, вместе взятыми).
7.18. Измерение без источника шума Теперь найдем отношение сигнал/шум
для сигнала UK с полным сопротивлением
В каскаде усилителя на биполярных или источника RK
полевых транзисторах, предназначенного С/Ш = 10 lg(U J U J 2 =
для работы на низких и средних частотах,
желательно большое входное сопротивле­ и2
ние. Мы хотим знать еши /ш, чтобы уметь = 10 Is ---------- — -----------
(е£ + / X + 4kTRH)B ’
предсказать отношение сигнал/шум для
источника сигнала с произвольным уров­ где числитель - квадрат лапряжения сиг­
нем и внутренним сопротивлением, как нала (предполагается, что он лежит внут­
обсуждалось выше. Процедура эта проста. ри полосы В), а слагаемые знаменателя —
Во-первых, путем непосредственного из­ это квадраты напряжения шума усили­
мерения определяется коэффициент уси­ теля, тока шума усилителя, проходящего
474 Глава 7

через сопротивление Ли, и теплового шу­ в полосе измерения при данном значении
ма Ли. Заметьте, что расширение полосы сопротивления источника равно значению
пропускания усилителя сверх пределов, добавленного сигнала. Таким образом
необходимых для прохождения сигнала усилитель имеет коэффициент шума
Ua, только уменьшает окончательное зна­ КШ = 10 \%(U'/4kTRT).
чение отношения сигнал/шум. Но если
сигнал UK широкополосный (например, Отсюда можно получить значение отно­
он сам является шумом), то окончатель­ ш ения сигнал/ш ум для сигнала любой
ное значение отношения сигнал/шум не амплитуды с тем же сопротивлением ис­
зависит от ширины полосы усилителя. Во точника, пользуясь формулой, приведен­
многих случаях в приведенном выражении ной в разд. 7.12:
преобладает одно из слагаемых.
С/Ш = 10 \g(U*/4kTRJ - КШ(Ли) дБ.
7.19. Измерение с источником шума Существуют хорошие доступные калиб­
рованные источники шума, большинство
Описанная техника измерения шумовых из которых предоставляют возможность
характеристик усилителя обладает тем ослабления до п рец и зи он н ого уровня
преимуществом, что для нее не требуется в микровольтовом диапазоне. Отметим
точного и регулируемого источника шу­ еще раз: в приведенных формулах предпо­
ма, но зато нужен точный вольтметр и лагается, что Rm » Л . С другой стороны,
фильтр, а также должна быть известна если измерение коэффициента шума про­
частотная характеристика коэффициента изводится с согласованным источником
усиления усилителя при данном сопро­ сигнала, т. е. если Ли = ZBX, то в преды­
тивлении п одклю ченного и сточни ка. дущих выражениях необходимо опустить
В альтернативном методе измерения шу­ коэффициент 4.
ма предполагается подача на вход широ­ Заметим, что этим способом еш и /ш
кополосного шумового сигнала известной прямо не определяются, находится толь­
амплитуды и наблюдение за возрастани­ ко определенная комбинация для источ­
ем напряжения выходного шума. Хотя эта ника с сопротивлением, равным сопро­
методика требует точно калиброванного тивлению возбуждающего генератора, ко­
источника шума, зато не нужно никаких торы й и сп о л ьзу ется при и зм ер е н и и .
предположений о свойствах усилителя, Конечно, после нескольких таких изме­
так как характеристики шума измеряются рений с разными сопротивлениями источ­
прямо в интересующей нас точке — на ника вы можете в результате вывести зна­
входе. чения еши /ш.
О пять-таки необходимые изм ерения Превосходной вариацией этого метода
проводятся относительно просто. Гене­ является использование теплового шума
ратор шума вы подсоединяете ко входу резистора в качестве «источника шума».
усилителя, будучи уверенными в том, что Это излюбленный прием разработчиков
его полное сопротивление RT— то самое, усилителей радиочастоты с очень малым
которое будет у источника, намеченного уровнем шума (в которых обычно полное
для работы с этим усилителем. Сначала сопротивление источника сигнала равно
вы определяете эффективное выходное 50 Ом, и оно согласовано с полным вход­
напряжение шума усилителя при ослаб­ ным сопротивлением усилителя). Делает­
лении источника шума до уровня нулево­ ся это обычно следующим образом. В со­
го выходного сигнала, затем увеличивае­ суд Дьюара с жидким азотом помещается
те среднеквадратичную амплитуду напря­ 50-ом ная «заглушка» (так на п роф ес­
жения источника шума Urдо тех пор, пока сиональном жаргоне называется хорошо
выходной сигнал усилителя не увеличит­ спроектированный резистор с пренебре­
ся на 3 дБ; это соответствует умножению жимо малой индуктивностью или ем ­
среднеквадратичного напряжения на 1,414. костью), так что она имеет температуру
Значение напряж ения входного шума кипящ его азота 77 К; вторая заглушка
Прецизионные схемы и малошумные аппаратуры 475

50 Ом находится при комнатной темпе­ отдельности не важны, имеет значение


ратуре. Вход усилителя попеременно под­ только общий (с согласованным источни­
ключается к этим двум резисторам (обыч­ ком) коэффициент шума или некоторое
но с помощью высококачественного коак­ специфицированное значение отношения
сиального реле), в то время как мощность сигнал/шум с согласованным источником
шума на выходе (на некоторой централь­ сигнала оговоренной амплитуды.
ной частоте при некоторой полосе изме­ Иногда шумовые параметры выражают­
рения) измеряется с помощью измерите­ ся явно в виде амплитуды узкополосного
ля мощности радиочастоты. Назовем ре­ сигнала, необходимого для получения
зультаты этих двух измерений мощности определенного отношения сигнал/шум на
выходных шумов для холодного и теплого выходе. Обычный радиоприемник может
резисторов
г соответственно Rхол и Rтепл иметь специфицированное отношение сиг­
Легко показать, что температура шума нал/шум 10 дБ при среднеквадратичном
усилителя на частоте измерения равна напряжении входного сигнала 0,25 мкВ
L = (Ттспл- ytmj / ( y - 1), и ширине полосы 2 кГц. В этом случае
процедура состоит в измерении средне­
где Y = R тепл/Л хо, есть отношение мощ­ квадратичного напряж ения выходного
ностей шума. Отсюда, воспользовавшись сигнала приемника в условиях возбужде­
формулой из разд. 7.12, имеем для коэф ­ ния входа согласованным (по сопротив­
фициента шума лению ) источни ком си н усои дальн ого
КШ(дБ)=10 18 (Гш/290+1). сигнала, вначале выведенным на ноль, а
Упражнение 7.6. Выведите предыдущее выражение потом дающим возрастающий (синусои­
для температуры шума. Подсказка: для начала при­ дальный) сигнал до тех пор, пока средне­
мите,’ что Я тепл
„ = а (' Т ш + 7тL J и А,хол = а (4Тш + Тхол7),’
еп л' квадратичный выходной сигнал не достиг­
где а - константа, которая скоро сократится; обра­ нет уровня 10 дБ; в обоих случаях ширина
тите внимание также на то, что вклад шума усили­
теля, обозначенный как температура шума, добав­ полосы приемника 2 кГц. Важно, чтобы
ляется к температуре шума резистора источника. используемый измерительный прибор да­
Вычтите ее отсюда. вал истинное среднеквадратичное напря­
Упражнение 7.7. Температура шума (или коэффи­ жение, когда шум и сигнал смешаны (под­
циент шума) усилителя зависит от величины полного робнее об этом см. далее). Заметим, что
сопротивления источника сигнала Аи. Покажите, что
усилитель, характеризующийся величинами ещи /ш(как при измерении радиочастотных шумов
на рис. 7.46), имеет минимум температуры шума при часто требуется работа с выходными сиг­
полном сопротивлении источника Яи = еш/(ш. По­ налами звукового диапазона.
кажите далее, что при этом значении /?и температура
шума определяется формулой Тш = e j m/2k.
7.20. Генераторы шумов и сигналов
Усилители с согласованным входным со­
противлением. Последний способ идеален Ш ирокополосны й шум может генери­
для измерения шума усилителей, спроек­ роваться с помощью указанных ранее эф ­
тированных в расчете на согласованное со­ фектов, а именно за счет теплового и
противление источниа сигнала. Наиболее дробового шума. Дробовой шум вакуум­
частыми примерами таких усилителей ного диода является классическим источ­
являются радиочастотные усилители или ником широкополосного шума, который
приемники для работы с полным сопро­ особенно удобен в работе, поскольку на­
тивлением источника сигнала около 50 Ом пряжение шума можно точно предсказать.
и сами имеющие входное сопротивление С недавних пор в качестве источника шума
50 Ом. В гл. 13 мы обсудим причины все чаще применяется стабилитрон. Шумы
отступления от нашего обычного крите­ обоих этих источников имеют спектр час­
рия, который гласит, что источник сиг­ тот от нуля до очень больших значений,
нала должен иметь малое внутреннее пол­ поэтому они полезны и при измерениях в
ное сопротивление по сравнению с пол­ звуковом диапазоне, и в радиодиапазоне.
ным сопротивлением нагрузки, на которую Интересный источник шума можно по­
он работает. В этом случае еш и /ш по строить с помощью цифровой аппарату-
476 Глава 7

+5 В

ММ5437 66,5 кОм LF411


Цифровой
источник Ъ о —с = ь Розовый
шума '"'НС04 шум
33,2 кОи
М к0мЙ1кОмЙ
0,03 0,01 2900
мкФ мкФ пФ

Рис. 7.61. Источник розового шума ( —3 дБ/октава, ±0,25 дБ от 10 Гц до 40 кГц).

ры, в частности длинных сдвиговых реги­ для генерации розового шума из белого
стров, в которых на вход подается резуль- необходим довольно сложный фильтр.
тат сложения по модулю 2 нескольких Схема, представленная на рис. 7.61, ра­
ф иксированны х разрядов (разд. 9.33). ботает от ИМ С 23-разрядного цифрового
В результате образуется выходной сигнал генератора белого шума и дает на выходе
в виде псевдослучайной последовательно­ розовый шум с точностью ±0,25 дБ от
сти нулей и единиц, которая после циф ­ 10 Гц до 40 кГц.
роаналогового преобразования и прохож­ Выпускаются самые разнообразные ис­
дения через фильтр нижних частот порож­ точники сигнала с прецизионно-регули­
дает аналоговый сигнал в виде белого руемой амплитудой выходного сигнала
шума со спектром, простирающимся до (вплоть до микровольтового диапазона и
точки среза фильтра; эта точка должна ниж е) и ч астотой от долей герц а до
быть намного ниже частоты, с которой гигагерц. Некоторые из них могут про­
сдвигается регистр. Такие генераторы граммироваться по циф ровой «шине».
могут работать на очень высоких частотах, В качестве примера — синтезирующий
генерируя шум до 100 и более килогерц. генератор сигнала, модель 8660 Hewlett-
Этот «шум» обладает интересным свой­ Packard, с частотой выходного сигнала от
ством: по прошествии некоторого време­ 0,01 до ПО МГц и амплитудой выходного
ни, определяемого длиной регистра, он в сигнала, калиброванной от 10 нВ до 1 В
точности повторяется (регистр максималь­ (ср ед н екв ад р ати ч н о е н ап р я ж е н и е), с
ной длины п бит перед повторением про­ удобным цифровы м дисплеем , ш иной
ходит через 2n— 1 состояний). Этот период внеш них соединений (интерфейсом) и
без особого труда можно продлить на шикарными приставками для расширения
месяцы или годы, хотя секунд, как пра­ полосы частот до 2,6 ГГц для модуляции
вило, достаточно. Например, 50-разряд- и качания частоты. Это несколько боль­
ный регистр, сдвигаем ы й с частотой ше, чем обычно нужно для работы.
10 МГц, генерирует белый шум со спект­
ром до 100 кГц и временем повторения
3,6 года. Аппаратура для генерации псев­ 7.21. Ограничение полосы частот
дослучайного шума на базе этого метода и измерение среднеквадратичного
описана в разд. 9.36. (эффективного) напряжения
Некоторые источники шума могут ге­
нерировать и белый, и розовый шум. Ограничение полосы частот. Во всех из­
У розового шума равные мощности на мерениях, о которых говорилось, пред­
каждой октаве, а не на каждой частоте. полагается, что шум на выходе рассмат­
Плотность его мощности (мощность на ривается в ограниченной полосе частот.
герц) имеет спад 3 дБ/октава, и, посколь­ В некоторых случаях усилитель может
ку ЛС-фильтр имеет спад 6 дБ/октава, иметь приспособления для такого ограни-
Прецизионные схемы и малошумные аппаратуры 477

f l
В •
2 /, + Л
НЧ-фильтр
ВЧ-фильтр

Л
Частота

Рис. 7.63. Эквивалентная «прямоугольному фильтру»


полоса шума полосового ЛС-фильтра.
Рйс. 7.62. Эквивалентная «прямоугольному фильтру»
полоса шума ЛС-фильтра нижних частот. 1 —RC-
фильтр (20 дБ/декада); 2 — эквивалентная идеальная уже есть опыт контурного интегрирова­
характеристика. ния, можете попробовать сделать следу­
ющее упражнение:
чения, что облегчает работу. Если это не Упражнение 7.8. (Факультативное.) Выведите пре­
так, то приходится присоединять к выхо­ дыдущий результат прямо из свойств характеристик
ду усилителя какой-нибудь фильтр и уже ЛС-фильтров. Предположите, что мощность входного
потом измерять напряжение шума на вы­ сигнала равна единице на герц и проинтегрируйте
выходную мощность от нуля до бесконечности. Кон­
ходе фильтра. турный интеграл и будет искомым ответом.
Проще всего использовать обычный
ЛС-фильтр с точкой, отвечающей значе­ Другой способ изготовить полосовой
нию - 3 дБ, установленной примерно на фильтр для измерения шума - это исполь­
край нужной полосы. Для точного изме­ зовать RLC-схему. Это лучше, чем пара
рения шума необходимо знать эквивален­ каскадно соединенных /?С-фильтров верх­
тную «полосу шума», т. е. ширину поло­ них и ниж них частот, если вы хотите
сы совершенного «прямоугольного» филь­ провести измерения в полосе, узкой в
тра нижних частот, через который бы сравнении с центральной частотой (т. е.
проходило такое же напряжение шума с высоким Q). На рис. 7.64 показаны как
(рис. 7.62). Значение ширины этой по­ параллельная, так и последовательная
лосы подставляется вместо В в приведен­ R L C -схема, а также точные формулы,
ных выше выражениях. После несложных определяющие их полосы пропускания;
выкладок находим: для обеих схем резонансная частота^ = 1/
2л у/LC . Вы можете сформировать схему
Я = ( Л/2 )/_ 3дБ= 1 ,5 7 /3дБ.
Для пары каскадно соединенны х RC -
фильтров (развязанных таким образом,
чтобы они не нагружали друг друга) ма­
гическим выражением будет В = 1,22 f_ 3дБ.
Для фильтра Баттерворта, описанного
в разд. 5.05, ширина полосы шумов та­
кова: в ■ k/ q 1
20 " ARC
В = 1,57/. 3дБ 1 полюс (Q -2ntRQ
В = 1,1 \f_ 3дБ 2 полюса
В = 1,05/. ,аБ 3 полюса
R L
В = 1,025/.3дБ 4 полюса -/VV\— n fw -\_
Если вы хотите провести измерения в
ограниченной полосе частот около неко­ я JL
2Q 4L
торой средней частоты, то можете и с­ (0 - 2tif . l / R )
пользовать просто пару /?С -фильтров
(рис. 7.63); в этом случае полоса частот Рис. 7.64. Эквивалентная «прямоугольному фильтру»
будет иметь указанный вид. Если у вас полоса шума полосового /JLC-фильтра.
478 Глава 7

напряжение, а среднеквадратичное напря­


жение в предположении синусоидальности
сигнала. Например, если измерить напря­
жение электросети в США, то вольтметр
покажет приблизительно 117 В. Это пре­
красно, но, так как вы измеряете гаус­
совский шум, то придется применить до­
полнительную коррекцию. Правило здесь
такое: чтобы получить среднеквадратич­
ное напряжение гауссовского шума, сле­
дует показания осредняющего вольтмет­
полосового фильтра в виде параллельной ра переменного тока умножить на 1,13
коллектору (или стоку) Л/, С-нагрузки; в или добавить 1 дБ. Предупреждение: это
этом случае используются приведенные правило хорошо работает, если измеря­
выражения. Другой вариант: можно вве­ ется чистый шум (т. е. выходной сигнал
сти фильтр, как показано на рис. 7.65; с усилителя с резистором или генератором
точки зрения пропускания шумов в опре­ шума на входе), но оно не дает точного
деленной полосе эта схема в точности эк­ значения, если к шуму добавлен сину­
вивалентна параллельной RLC-цепи при соидальный сигнал.
R = Л, || Rr Третий метод (не очень точный) состо­
Измерение напряжения шума. Наиболее ит в наблюдении шумовой картины на
точный способ измерения выходного шу­ экране осциллографа: среднеквадратичное
ма — использование выверенного вольт­ напряжение равно от 1/6 до 1/8 значения
метра среднеквадратичного (эф ф ектив­ разности пиков (разброс зависит от ва­
ного) напряжения. Он работает путем шей субъективной оценки этой величи­
измерения нагрева, производимого соот­ ны). В этом методе, хотя и неточном, не
ветственно усиленным сигналом, или с возникает проблем с получением доста­
использованием аналоговой схемы возве­ точной полосы измерения.
дения в квадрат с последующим усредне­
нием. Если вы пользуетесь измерителем 7.22. Попурри на тему шумов
истинного среднеквадратичного значения,
то сначала проверьте, рассчитан ли он на Вот подборка интересных и, возможно,
те частоты, на которых проводятся из­ полезных фактов.
мерения, потому что некоторые такие 1. Время осреднения, необходимое для
приборы имеют частоту всего несколько того, чтобы в показы ваю щ ем приборе
килогерц. Измерители истинного средне­ флуктуации вы прям ленного шумового
квадратичного напряжения специфици­ си гн ал а ум ен ьш и л и сь до требуем ого
руются также по пик-фактору, т. е. пре­ уровня при зад ан н ой полосе ш ум ов,
дельному отношению пикового напряже­ равно
ния к среднеквадратичному, при котором т * 1600/Да2 с,
нет больших потерь точности. При изме­
рении нормальных (гауссовских) шумов где т — постоянная времени показываю­
достаточно иметь пикфактор от трех до щего прибора, необходимая для того, что­
пяти. бы создать на выходе линейного детекто­
При отсутствии среднеквадратичного ра, возбуждаемого на входе шумом с по­
вольтметра можно воспользоваться про­ лосой В, флуктуации со стандартны м
стым осредняющим вольтметром пере­ отклонением <т процентов.
менного тока. Но в этом случае показа­ 2. Д ля белого шума с ограниченной
ния прибора приходится корректировать. полосой ожидаемое количество максиму­
Дело в том, что все осредняющие вольт­ мов в секунду равно
метры (VOM, DMM и т. п.) изначально
настроены так, что показывают не среднее N = л/3(/25 ~ f s) / 5( / 23 - / 3) ,
Прецизионные схемы и малошумные аппаратуры 479

тщательно продуманного расположения


заземления, а также дорогостоящую элек­
тростатическую и магнитную экраниров­
ку. В ближайших разделах мы попыта­
емся осветить эту темную область искус­
ства схемотехники.

7.23. Помехи

Сигнал помехи может попасть в электрон­


ный прибор по входам линий питания или
по линиям ввода и вывода сигнала. П о­
мехи могут попасть в схему и через емко­
стную связь с проводами (электроста­
тическая связь - наиболее серьезный эф ­
фект для точек схемы с большим полным
Рис. 7.66. Относительная наблюдаемость амплитуд сопротивлением) или через магнитную
в гауссовском шуме.
связь с замкнутыми контурами внутри
где /j и - нижняя и верхняя границы схемы (независимо от уровня полного со­
полосы. Для / = О /V = 0,77/; для узко­ п ротивлен и я), или электром агнитную
полосного шума (/; * f 2) N * (Д + / 2) / 2. связь с проводами, работающими как не­
3. Отношение среднеквадратичного зна­ большие антенны для электромагнитных
чения к среднему составляет: волн. Любой из этих механизмов может
для гауссовского ш ума э ф ф /с р = передавать сигнал из одной части схемы в
= Jn/2 = 1,25 = 1,96 дБ, другую. И наконец, токи сигнала в од­
для синусоидального сигнала эф ф /ср = ной части могут влиять на другую часть
= я/23'2= 1,11 =0,91 дБ, схемы при падении напряжения на путях
для прямоугольного сигнала эф ф /ср = заземления и линиях питания.
= 1 = 0 дБ. Исключение помех. Для решения этих
4. Частоты появления амплитуд в гаус­ часто встречающихся вопросов борьбы с
совском шуме. Рис. 7.66 показывает долю помехами придумано много эффективных
времени, когда данный уровень амплиту­ приемов. Однако следует помнить, что
ды превы ш ается гауссовским ш умом все эти приемы направлены на уменьше­
(мгновенным значением), имеющим эф ­ ние сигнала (или сигналов) помехи, ред­
фективное значение 1 В. ко когда помеха уничтожается совсем.
Поэтому имеет смысл повысить уровень
сигнала просто для увеличения отноше­
ПОМЕХИ: ЭКРАНИРОВАНИЕ ния сигнал/шум. Кроме того, надо ясно
И ЗАЗЕМЛЕНИЕ представлять себе, что внешние условия
могут быть в смысле помех очень разны ­
«Шум» в виде мешающего сигнала, т. е. ми — прибор, который безукоризненно
наводки сети, сигналов, приходящих по работает на стенде, может вести себя бе­
связям с источником питания и путям зобразно на том месте, для которого он
заземления, на практике может иметь бо­ предназначен. П еречислим некоторы е
лее важное значение, чем рассматривав­ внешние условия, которых следует избе­
шийся ранее внутренний шум. Эти ме­ гать: а) соседство радио- и телестанций
шающие сигналы могут быть уменьшены (РЧ-помехи), б) соседство линий метро
до незаметных значений (в отличие от теп­ (импульсные помехи и «мусор» в линии
лового шума) путем правильного разме­ питания), в) близость высоковольтных
щения и конструирования схем. В упор­ линий (радиопомехи, шипение), г) бли­
ных случаях можно включать комбинацию зость лифтов и электромоторов (всплески
из фильтрации на линиях входа и выхода, в линии питания), д) здания с регулято­
480 Глава 7

рами освещения и отопления (всплески в в огромной степени ослабляя связь) и,


линии питания), е) близость оборудо­ если возможно, снизить полное сопро­
вания с больш ими трансф орм аторам и тивление насколько удастся. Входы опе­
(магнитные наводки) и ж) особенно бли­ рационного усилителя в отличие от выхо­
зость электросварочных аппаратов (навод­ дов легко подхватывают помеху. Более
ки всех видов неимоверной силы). При подробно об этом см. далее.
сем прилагается ряд советов, технических Магнитная связь. К сожалению, низко­
приемов и заклинаний из области черной частотные магнитные поля не ослабляются
магии. сущ ествен н о м етал л и ч еской э к р а н и ­
Сигналы, связанные через входы, выхо­ ровкой. П роигры ватель, м агн и тоф он ,
ды и линии питания. В борьбе с шумами, микрофон или другая чувствительная схе­
идущими по линии питания, лучше всего ма, расположенная вблизи большого си­
комбинировать линейные РЧ-фильтры и лового трансформатора, будет иметь очень
подавители переходных процессов в ли­ большие наводки сетевой частоты. Луч­
нии переменного тока. Этим способом ший способ борьбы с этим явлением —
можно добиться ослабления помех на следить, чтобы каждый замкнутый кон­
60 дБ при частотах до нескольких сот ки­ тур внутри схемы имел минимальную пло­
логерц, а также эффективного подавле­ щадь, и стараться, чтобы схема не имела
ния повреждающих всплесков. проводов в виде петли. Эффективны в
С входами и выходами дело сложнее из- борьбе с магнитной наводкой витые пары,
за уровней полного сопротивления и по­ так как площадь каждого витка мала, а
тому, что надо обеспечить прохождение сигналы, наведенные в следующих друг
полезных сигналов, которые могут иметь за другом витках, компенсируются.
тот же частотный диапазон, что и поме­ При работе с сигналами очень низкого
хи. В устройствах типа усилителей звуко­ уровня или устройствам и, очень чув­
вых частот можно использовать фильтры ствительными к магнитным наводкам (го­
нижних частот на входе и на выходе (мно­ ловки магнитофонов, катушки индуктив­
гие помехи от близлежащих радиостанций ности, проволочные сопротивления), мо­
попадают в схему через провода громкого­ жет оказаться желательным магнитное
ворителя, выполняющие роль антенн). экранирование. «Экраны из мю-металла»
В других ситуациях необходимы, как пра­ выпускаются в виде готовых форм или гиб­
вило, экранированные провода. Провода ких листов. Если внешнее магнитное поле
с сигналами низкого уровня, в частности велико, то лучше всего применять экран
при высоком уровне полного сопротивле­ из материала с высокой магнитной про­
ния, всегда нужно экранировать. То же ницаемостью, окруженный экраном с низ­
относится к внешнему корпусу прибора. кой магнитной проницаемостью (напри­
Емкостная связь. Внутри прибора сиг­ мер из обычного железа), для того чтобы
налы могут прекрасно проходить всюду предотвратить магнитное насыщение внут­
путем электростатической связи: в какой- реннего экрана. Конечно, наиболее про­
нибудь точке в приборе происходит ска­ стым решением часто является удаление
чок сигнала 10 В и на расположенном мешающего источника магнитного поля.
рядом входе с большим полным сопро­ Иногда бывает необходимо убирать боль­
тивлением произойдет тот же симпатич­ шие силовые трансформаторы, так сказать,
ный скачок. Что тут можно сделать? Луч­ с переднего края. Тороидальные транс­
ше всего уменьшить емкость между этими форматоры имеют меньшую величину из­
точками, нарушителями порядка (разнеся лучаемого магнитного поля по сравнению
их), добавить экран (цельнометаллический с обычными прямоугольными.
футляр или даже металлическая экр а­ Радиочастотные помехи. Наводки радио­
нирующая оплетка исключает этот вид частоты могут быть очень коварными, по­
связи), придвинуть провода вплотную скольку невинная на взгляд часть схемы
к плате заземления (которая «глотает» может работать как эффективный резонан­
электростатические пограничные поля, сный контур с огромным резонансным
Прецизионные схемы и малошумные аппаратуры 481

пиком. Кроме общего экранирования, же­ нимает другая часть схемы, сидящая на
лательно все провода делать как можно ко­ том же проводе заземления. Часто дела­
роче и избегать образования петель, в ко­ ют «Мекку» заземления — это точка, в к о ­
торых может возникнуть резонанс. Если торой сходятся все линии заземления схе­
речь идет об очень высоких частотах, то тут мы, но это — решение в лоб; при мало­
могут помочь ферритовые кольца-бусины. мальском понимании сути проблемы вы
Классической ситуацией паразитного при­ сможете в большинстве ситуаций найти
ема высоких частот является пара шунти­ более разумное решение.
рующих конденсаторов (один танталовый, Обычные ошибки заземления. Общая си­
другой дисковый керамический), что часто туация представлена на рис. 7.67. В од­
рекомендуется для улучшения шунтирова­ ном приборе находятся усилитель низкого
ния питания. Такая пара образует отлич­ уровня и мощный усилитель (драйвер) с
ный паразитный настроенный контур где- большим потребляемым током. Первая
то в области от ВЧ до СВЧ (от десятков до схема сделана правильно: оба усилителя
сотен мегагерц), да еще и самовозбуждаю- присоединены непосредственно к изме­
щийся (при наличии усиления)! рительным выводам стабилизатора напря­
жения питания, поэтому падение напря­
7.24. Сигнальное заземление жения IR на проводах, идущих к мощ но­
му каскаду, не оказы вает влияни я на
Провода заземления и заземленные экра­ напряжение питания усилителя низкого
ны могут доставить много неприятностей, уровня. К тому же ток нагрузки, проходя
и по этому поводу существует много не­ на землю, не появляется на входе низко­
доразумений. В двух словах сущ ность го уровня; вообще, никакой ток не идет
проблемы такова: ток (о котором мы за­ по проводу заземления входа усилителя
были), протекая по линии заземления, низкого уровня к схемной «Мекке» (в ка­
может возбудить сигнал, который воспри­ честве которой может быть выбрано со-

Рис. 7.67. Схема заземления для сигналов низкого уровня, а - правильно; б — неправильно.
482 Глава 7

Сигналы высокого уровня. Если сигналы


имеют напряжение несколько вольт или это
Рис. 7.68. логические сигналы высокого уровня, то
просто соедините то, что нужно, и забудь­
единение с корпусом возле входного ко­ те об этом (рис. 7.69). Источник напряже­
аксиального разъема BNC). ния (обозначен между двумя заземлениями)
Во второй схеме имеются две грубые представляет собой разность потенциалов
ошибки. Флуктуации напряжения пита­ между двумя выводами линий питания в
ния, порожденные токами нагрузки кас­ одной и той же комнате или (что хуже) в
када высокого уровня, отражаются на разных комнатах здания. Эта разность по­
напряжении питания каскада низкого тенциалов состоит частично из напряжения,
уровня. Если входной каскад имеет не­ наведенного от сети, гармоник частоты
достаточно высокий коэффициент ослаб­ сети, радиочастотных сигналов (силовые
ления флуктуации питания, то это может линии питания - хорошая антенна), раз­
привести к возникновению автоколе­ ных всплесков и прочего «мусора». Если
баний. Дальше и того хуже: ток нагруз­ ваши сигналы достаточно велики, то со всем
ки, возвращаясь к источнику питания, этим вы можете жить.
вызывает флуктуации потенциала на «зем­ Малые сигналы и длинные линии. Для
ле» корпуса по отношению к заземлению малых сигналов такая ситуация нетерпи­
источника питания. Входной каскад ока­ ма, и вам придется сделать некоторые уси­
зывается привязанным к этой «переменной лия, чтобы ее улучшить. Несколько идей
земле», а это, очевидно, плохо. Мораль для этой цели содержит рис. 7.70. На
состоит в том, что надо следить, где про­ первой схеме коаксиальны й экран и ро­
текают большие токи сигнала, и смотреть, ванный кабель присоединен к корпусу и
чтобы вызываемые ими падения напря­ схемному заземлению источника сигнала,
жения не влияли на вход. В некоторых но изолирован от корпуса приемника (ис­
случаях разумно отделить источник пита­ пользуйте изолированный разъем BNC
ния от каскада низкого уровня небольшой Bendix 4890-1 или Amphenol 31-010). Бла­
/?С-цепью (рис. 7.68). В особо трудных годаря дифференциальному усилителю для
случаях с развязкой источника питания буферизации входного сигнала подавля­
можно попробовать в цепь питания кас­ ется синфазный сигнал в цепи заземле­
када низкого уровня поставить стабилит­ ния, выделяю щ ийся на экране. Также
рон или трехвыводной стабилизатор для полезно подключить резистор с малым
дополнительной развязки. сопротивлением и шунтирующий конден­
сатор на землю для ограничения сдвига
7.25. Межприборное заземление «напряжения заземления» и предупреж­
дения повреж дений входного каскада.
Идея главной точки заземления внутри Еще одна схема приемника на рис. 7.70
одного прибора хороша, но что делать, демонстрирует использование «псевдо-
если сигнал идет из одного прибора в дифференциального» входного включения
другой и у каждого из них свое представле­ для усилительного каскада с одним вы­
ние о «земле»? Рекомендуем несколько ходом (это может быть, например, стан­
предложений. дартный неинвертирующий ОУ, как по­
Прецизионные схемы и малошумные аппаратуры 483

казано на схеме). Сопротивление 10 Ом щей бесконечным КОСС), тем не менее


включенного между общей точкой уси­ работает она достаточно хорошо (с эф ­
лителя и схемной землей резистора дос­ фективным КОСС, равным Ки). Такой
таточно велико (во много раз больше пол­ прием псевдодифференциального вклю­
ного сопротивления заземления источни­ чения с отслеживанием потенциала зем­
ка), так что потенциал в этой точке задает ли можно использовать также для сигна­
опорная земля источника сигнала. Разу­ лов низкого уровня внутри самого прибо­
меется, любой шум, присутствующий в ра, когда возникают проблемы с шумами
этом узле схемы, появится также на вы ­ заземления.
ходе, однако это становится неважным, Во второй схеме используется экрани­
если каскад имеет достаточно высокий рованная витая пара, экран которой при­
коэффициент усиления Ки, поскольку от­ соединен к корпусу на обоих концах. Это
ношение полезного сигнала к шумам за­ не опасно, так как по экрану сигнал не
земления увеличивается в Ки раз. Таким идет. Дифференциальный усилитель ис­
образом, хотя данная схема не является пользуется, как и раньше, на приемном
подлинно дифференциальной (обладаю­ конце. Если передается логический сиг-
484 Глава 7

+15 В циальный биполярный сигнал на переда­


ющем конце. Трансформаторы также по­
пулярны в звуковой аппаратуре, хотя они
громоздки и ведут к некоторому искаже­
нию сигнала.
Для очень длинных кабельных линий (из­
меряемых милями) полезно принять меры
против больших токов в экранах на радио­
частотах. Способ достижения этого пока­
зан на рис. 7.71. Как было показано выше,
дифференциальный усилитель работает с
витой парой и на него не влияет напряже­
ние экрана. Путем связи экрана через не­
большую катушку индуктивности с корпу­
сом удается сохранить малое напряжение
постоянного тока, а большие радиочастот­
ные токи исключить. На этой схеме пока­
зана также защита от выхода синфазного
напряжения за пределы ±10 В.
Хорошая схема защиты многопроводно­
го кабеля, в котором требуется исключить
Рис. 7.71. Схема защиты входа приемника сигна­ синф азны е наводки, показана на рис.
лов с очень длинной линии. 7.72. Так как у всех сигналов эта наводка
одна и та же, то единственный провод,
нал, то имеет смысл передавать диффе­ подключенный к земле на передающем
ренциальный сигнал (сигнал и его инвер­ конце, служит для компенсации синф аз­
сию), как показано на рисунке. Во вход­ ных сигналов во всех п проводах сигнала.
ных каскадах приемной стороны можно Просто этот сигнал считывается по отно­
применять обычные дифференциальные шению к земле на приемном конце и ис­
усилители или, если очень сильны помехи пользуется как опорный входной сигнал
от земли, специальные «изолированные» для всех п дифференциальных усилителей,
усилители (выпускаются фирмами Analog работающих с остальными сигналами.
Devices и Burr-Brown). Последние могут Приведенные схемы хорошо подавляют
работать при киловольтных синфазных синфазные помехи на низких и средних
сигналах. Так же работают оптоэлектрон­
ные изолирующие модули, в некоторых
случаях - это удобное решение для пере­
дачи цифровых сигналов.
На радиочастотах подходящий способ
подавления синфазного сигнала на при­
емном конце дает трансформаторная связь;
она также облегчает получить дифферен­

Сигналы -

Общий j*
Множество витых
проводов, один LJ Дифф.
общий усилители
Рис. 7.72. Подавление синфазной по­
мехи при пользовании длинным мно­
гожильным кабелем.
Прецизионные схемы и малошумные аппаратуры 485

частотах, но против радиочастотных по­ Мил л и вольто­


мех они могут оказаться неэффективны­ вый сигнал от голов­
ки магнитофона
ми из-за низкого КОСС в приемном диф­
ференциальном усилителе. Одной из воз­
можностей здесь оказывается закрутка
кабеля целиком вокруг ферритового тора
(рис. 7.73). Это увеличивает последова­ Не заземлять!
тельную индуктивность кабеля в целом,
повышает полное сопротивление си н ­
фазному сигналу на высокой частоте и об­ Рис. 7.74.
легчает возможность шунтирования его на
дальнем конце парой конденсаторов ма­ Изолирующие усилители. Другим реше­
лой емкости на землю. Эквивалентная нием серьезных проблем, связанных с за­
схема показывает, почему это происходит землением, является использование «изо­
без ослабления дифференциального сиг­ лирую щ его усилителя». И золирую щ ие
нала: у вас есть последовательные индук­ усилители —это готовые устройства, пред­
тивности, включенные в сигнальные ли ­ назначенные для передачи аналогового
нии и экран, но поскольку они образуют сигнала (с полосой частот, начинающ ей­
трансформатор с единичным отношени­ ся с постоянного тока) от схемы с одним
ем числа витков, дифференциальный сиг­ опорным уровнем заземления к другой
нал не изменяется. Это есть на самом деле схеме, имеющей совершенно другую зем­
«1:1 продольный трансформатор», кото­ лю (рис. 7.75). На практике в некоторых
рый описывается в разд. 13.10. экзотических ситуациях потенциалы этих
Плавающий источник сигнала. Та же «земель» могут отличаться на много ки ­
несогласованность напряжений заземле­ ловольт! Применение изолирующих уси­
ния в разных местах проявляется еще бо­ лителей обязательно в медицинской элек­
лее серьезно на входах низкого уровня, тронике - там, где электроды приклады­
поскольку там сигналы очень малы. П ри­ ваются к телу человека, с тем, чтобы
мером является головка магнитофона или полностью изолировать такие контакты от
другой источник сигнала, для которого измерительных схем, запитанных непо­
нужна экранированная сигнальная линия. средственно от сети переменного тока.
Если заземлить экран на обоих концах, то В выпускаемых в настоящее время изо­
разность напряжений заземления появит­ лирующих усилителях используется один
ся в качестве сигнала на входе усилите­ из следующих трех методов:
ля. Лучше всего отделить экран от зазем­ 1. Трансформаторная изоляция (развяз­
ления в источнике (рис. 7.74). ка) несущего сигнала высокой частоты,
подвергнутого частотной или ш иротно­
импульсной модуляции относительно уз­
коп олосн ы м сигналом (с частотой от
0 до 10 кГц или около того), который
н еобходи м о и зо л и р о в ать (ри с. 7.76).
Этот метод применяется во всех изолиру­
ющих усилителях фирмы Analog Devi­
ces, а такж е в ряде устройств фирмы
Burr-Brown. Изолирующие усилители с
Т- JVVTV трансформаторной развязкой имеют удоб­
ную осо б ен н о сть: п и тан и е п о с т о я н ­
j VYY\ ного тока подается только на одну сторо­
ч ? ну (передающую или приемную); у всех
Трансформатор у них в корпусе встроен преобразователь
постоянного напряжения в постоянное на­
Рис. 7.73. пряжение с трансформаторной связью.
486 Глава 7

Выход
сигнала
(пост, ток)

Рис. 7.75. Концепция изолирую­


щего усилителя.
Потенциалы "земель" могут
отличаться на киловольты

Усилители такого типа обеспечивают изо­ 2. Оптоэлектронная передача сигнала


ляцию до 3,5 кВ и имеют типичную по­ через светодиод на передающем конце и
лосу пропускания порядка 2 кГц, хотя фотодиод на стороне приемника. Типич­
некоторые устройства работают с сигна­ ным примером использования этого ме­
лами до 20 кГц. тода служит ISO 100 фирмы Burr-Brown.
Прецизионные схемы и малошумные аппаратуры 487

Изолир.
барьер

± 15 В (перед атч ик) ± 15 В (прием ник)

т о о-
СИД I ________

Земля
V
Земля
входа входа

Рис. 7.77. Аналоговый изолирующий усилитель с оптической связью.

Здесь не требуется высокочастотной не­ ходимо изолировать (рис. 7.78). П ред­


сущей, поскольку сигналы, будь они даже ставителями этого метода являются ISO 102,
постоянного тока, можно передавать оп ­ ISO 106 и ISO 122 фирмы Burr-Brown
тически. Для того чтобы добиться хоро­ (рис. 7.79). Здесь нет обратной связи, как
шей линейности, Burr-Brown использова­ и при трансформаторной изоляции, но
ла изящный ход: свет от светодиода пада­ для большинства моделей нужны источ­
ет также на второй (согласованны й с ники питания на обоих концах. Это обыч­
первым) фотодиод, включенный на пе­ но не доставляет трудностей, поскольку у
редающем конце по схеме обратной свя­ вас, скорее всего, должны быть электрон­
зи, так что нелинейности свето- и фото­ ные схемы на обоих концах, генерирую­
диода взаимно уничтожаются; см. рис. щие и использующие сигнал. Если это
7.77. ISO 100 требует источников пита­ не так, то вы можете достать изолирован­
ния на обоих концах, изолирует до 750 В ный преобразователь постоянного напря­
и имеет полосу 60 кГц. жения для использования его в такого рода
3. Изоляция за счет емкостной связи по усилителе. ISO 106 обеспечивает изоля­
высокочастотной несущей, модулирован­ цию до 3,5 кВ и имеет полосу пропуска­
ной по частоте сигналом, который необ­ ния 70 кГц.
± 15 В (перед атч ик) ± 15 В (прием ник)

Рис. 7.78. Изолирующий усилитель с емкостной связью.


488 Глава 7

Рис. 7.79. Изолирующий усилитель ISO 106 фирмы Burr-Brown (Burr-Brown Corporation).

Все изолирую щ ие усилители такого ним полным сопротивлением в сотни ме-


рода предназначены для работы с анало­ гаом, то даже входная емкость в несколько
говыми сигналами и обладают умеренной пикофарад может в этом случае совмест­
полосой пропускания; стоимость каждо­ но с этим сопротивлением образовать
го из них лежит в пределах от 25 до 100 фильтр нижних частот со спадом, начи­
долл. Такого же плана проблемы зазем­ нающимся с нескольких герц! К тому же
ления могут возникать и в цифровых схе­ конечное значение сопротивления изоля­
мах, где они решены просто и эффектив­ ции в соединительном кабеле легко мо­
но: выпускаются изоляторы с оптичес­ жет на порядки ухудшить рабочие пара­
кой связью (оптоизоляторы) с большим метры усилителя со сверхнизким током
выбором полосы (до 10 МГц и более), входного сигнала (ток смещения меньше
изолирующие разность потенциалов в пикоампера) за счет утечек. Обе эти про­
несколько киловольт и имеющие низкую блемы разрешаются путем использования
стоимость (1 -2 долл.). Мы познакомим­ защитного электрода (рис. 7.80).
ся с ними в гл. 9. Внутренний экран соединен с повтори­
Защита сигнала. К этому вопросу тес­ телем; это эффективно исключает токи и
но примыкает защита сигнала —изящный резистивных, и емкостных утечек за счет
способ уменьшения эффектов входной нулевой разности потенциалов между сиг­
емкости и утечек при малых сигналах и нальным проводом и его окружением.
большом полном сопротивлении. Если вы Внешний заземленный экран предохраня­
работаете с сигналами от микроэлектро­ ет от помех защитный электрод; не дос­
дов или емкостных датчиков с внутрен­ тавляет хлопот работа повторителя на
Малые Сe x.' Iвх Малое/

Рис. 7.80. П рим енение


«защитного» экрана для
увеличения входного пол­
ного сопротивления.
Прецизионные схемы и малошумные аппаратуры 489

Л огический
сигнал Шина

Рис. 7.81. Схема образования


помех от цифровых схем в ли­
нейном аналоговом сигнале.

емкость и утечку между экранами, так как разитная емкость связи, если влияние
у повторителя малое полное выходное со­ помехи должно быть меньше разрешения
противление. аналогового сигнала 0,1 мВ? Удивитель­
Однако не следует применять этот при­ ный ответ — 0,02 пФ.
ем чаще, чем это необходимо; имеет Есть несколько решений этого вопроса.
смысл ставить повторитель как можно Лучше всего держать ваш маленький ана­
ближе к источнику сигнала, защ ищ ая логовый сигнал подальше от скопления
лишь небольшой отрезок кабеля, соеди­ быстропереключающихся сигналов. Сред­
няющий повторитель и источник. Пере­ ней величины конденсатор, шунтирующий
давать сигнал после повторителя с его выход ОУ (возможно, с небольшим пос­
низким выходным полным сопротивлени­ ледовательным резистором для обеспече­
ем к отдаленному усилителю можно и по ния устойчивости ОУ), может исправить
обычному экранированному кабелю. За­ положение, хотя и снизит скорость нарас­
щиту сигнала мы рассмотрим в разд. 15.08 тания. Грубо говоря, конденсатор снижа­
в связи с микроэлектродами с большим ет частоту воспринимаемых помех до тако­
полным сопротивлением. го значения, при котором обратная связь
Влияние на выходные сигналы. Как пра­ усилителя может их подавить. Несколько
вило, выходное сопротивление ОУ н а­ сот пикофарад на землю придадут доста­
столько мало, что не надо заботиться точную устойчивость аналоговому сигналу
о емкостных наводках на выходной сиг­ высокой частоты (представьте себе емкос­
нал. Однако в случае наличия высокоча­ тный делитель напряжения). Еще одна
стотной или быстропереключающейся по­ возможность — это применить буферный
мехи основание для беспокойства имеет­ усилитель с низким полным выходным со­
ся, особенно если от выходного сигнала противлением, как LT1010, или мощный
требуется более или менее приличная точ­ ОУ типа LM675. Не пренебрегайте также
ность. Рассмотрим пример на рис. 7.81. возможностью использовать экранирова­
Прецизионный сигнал усиливается с по­ ние, витые пары и близость к платам за­
мощью ОУ и проходит через область земления для уменьшения влияний.
пространства, содержащую логические
элементы с сигналами, дискретно изме­ СХЕМЫ, НЕ ТРЕБУЮЩИЕ ПОЯСНЕНИЙ
няю щ имися со скоростью нарастания
0,5 В/нс. Выходное полное сопротивле­ 7.26. Удачные схемы
ние замкнутого ОУ повышается с часто­
той, достигая значений от 10 до 100 Ом На рис. 7.82 показаны некоторые идеи
на частоте 1 МГц (см. разд. 7.07). Какой построения схем, имеющие отношение к
должна быть наибольшая допустимая па­ теме данной главы.
Удачные схемы

2 кОм
+ 15
2 кОм J~ ^ 0,1%
10 В 2N 4 41 6
0,1%
0В _ г
Входная - 100 пФ
ступенька х1 5 кОм Испыта- ! 3,3
5 кОм 0,1% тельная ! кОм
~ нагрузка
0, 1% +15 _ 5
-1
Суммирующая
точка

-fl
I100 исЛ.=ШШ I I кОм

-15

Рис. 7.82. а — схема измерения напряжения сдвига ОУ\ 6 - схема измерения низкочастотного шума ОУ; « - схема
измерения времени установления; г - схема для работы на большую емкостную нагрузку; д —схемы зашиты от
помех входов сигналов низкого уровня с высоким Z. (R компенсирует выходное сопротивление источника);
+15 "О С
100 МОм

I --------- j с, я,
Ток
сигнала
1— 11— 1 0,0^ |<кФ 1 кОм
Сос Rx
r * f 10 кОм
■fr
А пг
----- С =>

+15 М алы й;
(напр.,
(нап р .,0 Р А 1 28 — f выше, чем у У1
фотодиод)
AD549 и др.)

Изменение КУ без ОС
(добавлены Уг и д р.)

\ Начальный КУ без ОС
(добавлены У, и /?ос)
\
0,1 мкФ

Устраненный
W-7»<3----- шум
3 кОм

1 МОм

Г
Не менее
М 5М 0м на мкФ

Интегр.

Вых.

100 кОм
LT1021-7

LT1001
Изменение тока < 1 0 6/ В
Работает от -1 3 до +7 В

Рис. 7.82. Продолжение.


е - схемы подстройки сдвига (I —традиционная; II — улучшенная с потенциометром со средней точкой. Эта
схема, предоставленная фирмой Bourns, имеет меньшую зависимость от небаланса напряжений питания);
ж - интегратор с ограничителем из стабилитронов с малой утечкой; з - сверпрецизионный источник тока;
и - уменьшение «усиления шума» в усилителе сигналов низкого уровня —преобразователе полного сопротивле­
ния (из сборника по применению фирмы Burr-Brown); к - прецизионный интегратор с компенсацией утечки
конденсатора (потенциометр П, используется для установления нулевого дрейфа в момент, когда напряжение на
выходе близко к нулю, а П2- когда выход близок к + 10 В);
Рис. 7.82. Продолжение.
л — измерительный усилитель (коэффициент усиления задается выбором Л,); м — малошумящий с малым
дрейфом усилитель; шум составляет 60 нВ (дв. ампл.) в диапазоне частот 0,1-10 Гц - сравните с большим
значением шума усилителя с прерыванием (1,5 мкВ двойной амплитуды); я —активный выпрямитель (сим­
метричная схема); о - малошумящий предусилитель, рассчитанный на работу с А, < 600 Ом (разработано
Бобом Видларом для фирмы NSC); п — программируемый источник тока, использующий измерительный
усилитель; р — ОУ, обеспечивающий высокую точность по постоянному току, высокое быстродействие или
большую мощность;
Прецизионные схемы и малошумные аппаратуры 493

+15 В

-15 В

Рис. 7.82. Продолжение.


с — ОУ со сверхмалым шумом (фирма PMI, заметка по применению 102).

ДОПОЛНИТЕЛЬНЫЕ УПРАЖНЕНИЯ (4). Были произведены измерения на промышленном


усилителе для определения его эквивалентного шума
(1). Докажите, что С/Ш = 10 lg (v^/4kTRJ - КШ(дБ) еш и /ш при частоте 1 кГц (ZBX= 1 МОм). Выходной
(при R"). сигнал усилителя был пропущен через фильтр с кру­
(2). Синусоидальный сигнал 100 Гц с эффективным тым спадом частотной характеристики и полосой
напряжением 10 мкВ проходит через резистор 1 МОм
при комнатной температуре. Какое будет отношение
сигнал/шум в полученном в результате сигнале (а) в
полосе шириной 10 Гц с центром на частоте 100 Гц?
(б) В полосе частот от 0 до 1 МГц?
(3). Транзисторный усилитель, в котором применен
2N5087, работает при токе коллектора 100 мкА
и возбуждается источником сигнала с полным со­
противлением 2000 Ом. (а) Найдите коэффициент
шума при 100 Гц, 1 кГц и 10 кГц. (б) Найдите отно­
шение сигнал/шум на каждой из названных частот
для входного сигнала 50 нВ (среднеквадратичное) и
полосы пропускания усилителя 10 Гц. Рис. 7.83
494 Глава 7

пропускания шириной 100 Гц, и входной сигнал с выходным полным сопротивлением 50 Ом. Выход
10 мкВ дал выходной сигнал 0,1 В. При таком уров­ генератора должен был быть увеличен до 2 нВ/Гц|/2
не вклад шума усилителя пренебрежимо мал. Средне­ для того, чтобы удвоить мощность выходного шума
квадратичное напряжение шумов на выходе равно усилителя. Каков коэффициент шума при сопро­
0,4 мВ при закороченном входе. При разомкнутом тивлении источника 50 Ом?
входе выходной шум возрастает до 50 мВ эфф. (6). Напряжение выходного шума у генератора бело­
(а) Найдите еш и /ш для этого усилителя на частоте го шума измеряется с помощью схемы, показанной
1 кГц. (б) Найдите коэффициент шума этого уси­ на рис. 7.83. При некотором уровне выходного сиг­
лителя на частоте 1 кГц при сопротивлениях источ­ нала генератора вольтметр переменного тока пока­
ника 100 Ом, 10 кОм и 100 кОм. зывает 1,5 В эфф. Какова будет плотность шума
(5). На некотором усилителе производились изме­ (среднеквадратичная, в вольтах на корень из герца)
рения с помощью калиброванного источника шума на выходе генератора?
ГЛАВА 8

ЦИФРОВЫЕ СХЕМЫ

ОСНОВНЫЕ ЛОГИЧЕСКИЕ ПОНЯТИЯ зультаты для последующего использования


в процессе эксперимента.
8.01. Цифровые и аналоговые сигналы Другим интересным примером, кото­
рый демонстрирует возможности цифро­
Мы рассматривали до сих пор главным вых методов, является передача аналого­
образом схемы, входные и выходные на­ вых сигналов без искажений, связанных
пряжения которых могли изменяться в с воздействием помех. Например, звуко­
определенном диапазоне значений: RC-це- вые и видеосигналы, передаваемые по
пи, интеграторы, выпрямители, усилители кабелю или с помощью радиоволн, вос­
и т. п. Когда сигналы, с которыми при­ принимают «шум», который потом нельзя
ходится иметь дело, либо являются непре­ отделить от полезного сигнала. Если же
рывными по самой своей природе (напри­ передаваемый сигнал преобразовать в ряд
мер звуковые), либо представляют собой чисел, определяющ их его амплитуду в
непрерывно меняю щ иеся напряж ения, последовательные моменты времени, а
поступающие от измерительных приборов затем эти числа передавать в виде цифро­
(например, от устройств для измерения вых сигналов, то аналоговый сигнал, вос­
температуры или обнаружения светового становленный на приемной стороне (с
излучения, биологических или химичес­ пом ощ ью Ц А П ), не будет содерж ать
ких зондов), это естественно. ош ибок, если уровень шума в канале
Входной сигнал по своей природе мо­ связи не настолько высок, чтобы поме­
жет быть и чисто дискретным, например шать правильному распознаванию «еди­
импульсы в детекторе частиц или «биты» ниц» и «нулей». Этот метод, известный
информ ации, поступаю щ ие от ключа, под названием импульснокодовой моду­
клавиатуры или ЭВМ. В подобных слу­ ляции (И К М ), особенно эффективен в
чаях естественно и удобно использовать том случае, когда сигнал должен прохо­
цифровую электронику, т. е. схемы, ко­ дить через ряд ретрансляторов, например,
торые имеют дело с информацией, пред­ при м еж континентальной телеф онной
ставленной в виде «единиц» или «нулей». связи, так как восстановление цифрового
Для того чтобы непрерывную (аналого­ сигнала в каждом пункте ретрансляции га­
вую) информацию можно было обраба­ рантирует помехоустойчивую передачу.
тывать на ЭВМ или хранить в виде чисел, К осмические зонды с помощ ью И К М
ее необходимо преобразовать в цифровую передают на землю данные и изображе­
форму и наоборот (с помощью цифро- ния. Цифровая звукозапись в вашем доме
аналоговых ЦАП и ан алогоциф ровы х размещается на 12-см оптических «ком-
А Ц П -преобразователей). Характерным пакт-дисках», которые хранят стереому-
примером служит ситуация, в которой зыкальные произведения в виде 16 разря­
микропроцессор или ЭВМ воспринимает дов каждые 23 мкс, порядка 6 млрд. бит
сигналы от экспериментальной или про­ информации на все.
мышленной установки, на основе полу­ В озмож ности циф ровой аппаратуры
ченных данных управляет параметрами настолько велики, что задачи, предназна­
эксперимента и хранит полученные ре­ ченные, казалось бы, исключительно для
496 Глава 8

аналоговых методов, гораздо лучше за­ +5 В


частую решаются цифровым путем. На­
пример, в аналоговом измерителе темпе­ 1,0 кОм
ратуры можно установить микропроцес­ Ключ замкнут
сор и память, в результате этого повы­
сится точность измерений за счет компен­
сации нелинейности прибора. Подобные
прим енения м икроп роц ессоров стали
{
обычным делом ввиду их широкой дос­
тупности. Однако вместо того, чтобы пы­
Р и с. 8.1.
таться п ер еч и сл и ть все сл у ч аи , где
может прим ен яться ц и ф ровая э л е к т­ ное напряжение в схеме отсутствует) и
роника, лучше перейдем к ее изучению, может быть обозначен, как показано на
в процессе которого примеры будут воз­ рисунке. (Черта над символом означает
никать сами собой. операцию НЕ, т. е. данная линия имеет
ВЫ СОКИЙ уровень, когда ключ не зам­
8.02. Логические состояния кнут.) Запомните, что наличие или от­
сутствие черты отрицания над обозначе­
Под цифровой электроникой мы имеем в нием говорит о том, какой уровень (ВЫ­
виду схемы, для каждой точки которых С О К И Й или Н И З К И Й ) будет иметь
можно определить, как правило, только данный провод, когда заданное условие
два состояния, например, транзистор мо­ (КЛЮ Ч ЗАМКНУТ) истинно.
жет быть либо закрыт, либо насыщен. Цифровая схема «знает», что за сигнал
В качестве параметра обычно выбирают она представляет по тому, откуда посту­
не ток, а напряжение, уровень которого пает этот сигнал, так же как в аналоговой
может быть ВЫСОКИМ или Н И ЗКИ М . схеме выход какого-либо операционного
Эти два состояния могут представлять раз­ усилителя «представляет» определенную
личные «биты» (binary digits — двоичные величину. Цифровые схемы, однако, об­
разряды) информации, например, следу­ ладают дополнительной гибкостью: иногда
ющим образом: один бит числа: ключ зам­ одни и те же сигнальные линии исполь­
кнут или разомкнут, присутствует или зуются для передачи различных видов ин­
отсутствует сигнал, уровень аналогового формации и для посылки ее в разных на­
сигнала выше или ниже заданного преде­ правлениях в различные моменты време­
ла, некоторое событие произошло или не н и . Д л я того чтобы в ы п о л н и т ь это
произошло, требуется или не требуется «мультиплексирование», необходимо по­
выполнять некоторые действия и т. п. сылать дополнительную информацию (ад­
Высокий и низкий уровни. Состояния ресные биты или биты состояния). Далее
ВЫСОКОГО и НИЗКОГО уровней опре­ будет показано много примеров, в кото­
деляют некоторы м заданным образом рых используется это полезное свойство
«истинные» и «ложные» значения в буле­ цифровой электроники, а пока будем счи­
вой алгебре. Если в какой-либо точке тать, что любая схема предназначена для
схемы истинное значение определяет выполнения одной заданной функции и
ВЫСОКИЙ уровень, то говорят, что эта она «знает», откуда поступают входные и
сигнальная линия использует «положи­ куда идут выходные сигналы.
тельную логику», и наоборот. Пример Введем понятия 1 и 0, внеся некоторую
«отрицательной логики» показан на рис. путаницу в эту простую по существу опе­
8.1. К огда состоян ие КЛЮ Ч ЗА М К ­ рацию. Эти символы используются в бу­
НУТ истинно, выход имеет Н И З К И Й левой алгебре для обозначения утвержде­
уровень. Выходной сигнал таким обра­ ний ИСТИНА и ЛОЖ Ь соответственно.
зом соответствует «отрицательной логи­ В том же значении они иногда использу­
ке» (более правильным было бы название ются и в электронике, но, к сожалению,
«нулевая логика», поскольку отрицатель- здесь они применяются также и в другом
Цифровые схемы 497

смысле, а именно: 1 означает ВЫСОКИЙ на к логическому сигналу при самых не­


уровень, а 0 — Н И ЗК И Й уровень. В этой благоприятных условиях, не будет еще
книге мы постараемся избежать какой- приводить к ош ибочной работе схемы.
либо двусмысленности, будем исполь­ Например, для элементов ТТЛ помехоус­
зовать для обозначения логических со ­ тойчивость составляет 0,4 В, так как
стояний слова ВЫ СОКИЙ уровень (или лю бой сигнал ниж е 0,8 В и н те р п р е­
символ В) и Н И ЗК И Й уровень (или сим­ тируется ими как Н И ЗК И Й уровень, а
вол Н) метод, который широко исполь­ любой сигнал выше 2,0 В - как ВЫСО­
зуется в электронной промышленности, К И Й , в то время как уровни выходных
а обозначения 1 и 0 будут применяться сигналов составляют в самом неблаго­
лишь в тех случаях, где их двусмысленное приятном случае +0,4 и +2,4 В соответ­
толкование исключается. ственно (см. таблицу логических уровней
Д иапазон напряжений высокого и низкого в гл. 1). В действительности помехоус­
уровней. Как упоминалось в разд. 1.10, зна­ тойчивость этих схем значительно выше
чения н ап ряж ени й , соответствую щ их приведенной величины, поскольку типич­
ВЫСОКИМ и Н И ЗКИ М уровням, могут ные значения ВЫСОКОГО и НИЗКОГО
колебаться в некотором диапазоне. Н а­ напряжений составляют +0,2 и 3,4 В, а
пример, для высокоскоростной КМ ОП входной порог принятия решения равен
(«НС») логики входные напряжения от ~ 1,3 В. Однако необходимо помнить,
уровня земли до 1,5 В представляются как что хорошая схема рассчитана на самый
Н ИЗКИ Й уровень, а напряжения в пре­ неблагоприятный случай. Не следует так­
делах 1,5 В от напряжения питания + 5 В - же забывать о том, что различные семей­
как ВЫСОКИЙ уровень. Типичные н а­ ства логических элементов обладают раз­
пряжения НИЗКОГО и ВЫСОКОГО со­ личной помехоустойчивостью. Элементы
стояний составляют десятую долю В выше КМ ОП имеют по сравнению с ТТЛ более
0 и ниже 5 В соответственно (остаточное высокую помехоустойчивость, а быстро­
напряжение одного МОП-транзистора). действующие элементы ЭСЛ —более низ­
Такие широкие диапазоны выбраны для кую. Конечно, восприимчивость к шуму
того, чтобы изготовитель микросхем имел цифровых систем зависит также от ам п­
в своем распоряжении определенный до­ литуды шума, которая в свою очередь за­
пуск, в пределах которого параметры схе­ висит от таких факторов, как параметры
мы могли бы колебаться за счет измене­ выходной ступени индуктивности провод­
ния температуры, нагрузки, напряжения ников зем ли, сущ ествования длинны х
питания, а также под воздействием шу­ линий «шин», скорости нарастания на
мов, т. е. разнообразных паразитных сиг­ выходе во время логического перехода
налов, которые добавляются к рабочему (когда из-за емкостной нагрузки возни­
сигналу при его прохождении через схе­ кают переходные токи, вызывающие выб­
му (за счет емкостных связей, внешних росы напряжения линии земли). Мы бу­
наводок и т. п.). Схема, получив сигнал, дем касаться некоторых из этих проблем в
определяет, каков его уровень (ВЫ СО­ разд. 9.11—9.13.
КИЙ или Н И ЗК И Й ), и действует соот­
ветствующим образом. Если помеха не ЛОГИЧЕСКИЕ УРОВНИ
превращает 1 в 0 или наоборот, то все
Диаграмма на рис. 8.1 а показывает диапазоны на­
прекрасно и любые помехи отсеиваются пряжений, которые соответствуют двум логическим
на каждой ступени, поскольку на выходе состояниям (ВЫСОКИЙ и НИЗКИЙ) для самых
схемы восстанавливаются «чистые» значе­ популярных семейств цифровой логики. Для каждого
ния 1 или 0. Цифровая электроника в логического семейства необходимо определить допус­
этом смысле не подвержена влиянию по­ тимые значения как входных, так и выходных напря­
жений, соответствующих состояниям ВЫСОКИЙ и
мех и является идеальной. НИЗКИЙ. Закрашенная площадь выше линии пока­
Термин помехоустойчивость использует­ зывает допустимый диапазон выходных напряжений,
ся здесь для обозначения максимального при котором гарантируются логические состояния
уровня помехи, которая, будучи добавле­ НИЗКИИ и ВЫСОКИЙ без ошибок, с двумя стрел-
498 Глава 8

Биполярные ТТЛ
8.03. Числовые коды
и, =+5±5%
В большинстве случаев рассмотренные
0 1 2 3 4 5 выше условия, которые могут быть пред­
Быстродейств.
ставлены цифровыми уровнями, просты
КМОП и наглядны. Более сложный и интерес­
" г г - +5 | чч ный вопрос заключается в том, как с по­
ш А
шWI мощью цифровых уровней представить
0 > 3 4 5 часть числа.
КМОП с Д есятичное (с основанием 10) число
ТТЛ-порогом
представляет собой строчку из цифр и
Ucc- +5
1 (при этом) п одразум евается, что они
А
ш должны быть умножены на последова­
0 1 2 3 4 5 тельные степени числа 10 для образова­
КМОП (4000, 74 С) ния индивидуальных произведений, а за­
Urr= +5
тем вместе сложены. Например, 137,06 = 1 х
и А X 102 + 3 X 10' + 7 X 10° + 0 X 10-' +
1

ш
+ 6 х 10 “2, Для записи числа требуется
0 1 2 3 4 5 десять символов (от 0 до 9), а степень
числа 10, на которую должна быть умно­
жена цифра, определяется ее положени­
ем по отношению к десятичной запятой.
Если мы хотим представить число с по­
О 2 4 б 8 10 12
301 Ю ООО мощью только двух символов (0 и 1), то
и = -5 ,2 ± 5% такая система счисления будет называть­
ся двоичной или системой с основанием

1
Р

Ш 2. В этом случае каждая 1 или 0 будет


-5 -4 -3 -2 -1 О
умножаться на последовательные степе­
ни числа 2. Например,
Рис. 8.1, a 11012 = 1 х 23 + 1 х 22 + 0 х 2 1 + 1 х 20 =
= 13,
ками, указывающими типовые выходные значения Отдельные «единицы» и «нули» в запи­
(НИЗКИЙ и ВЫСОКИЙ), встречающиеся на прак­ си, представляющей двоичное число, на­
тике. Закрашенная площадь ниже линии показыва­ зываются «битами» (от слов binary digits —
ет диапазон входных напряжений, гарантирующий
представление как НИЗКИЙ или ВЫСОКИЙ, со
двоичный разряд). Индекс (записы вае­
стрелкой, указывающей типовое напряжение логи­ мый всегда по основанию 10) указывает,
ческого переключения, т. е. линию, разделяющую какая используется система счисления.
уровни НИЗКИЙ и ВЫСОКИЙ. Во всех случаях Он часто бывает нужным для того, чтобы
логическое состояние ВЫСОКИЙ более положитель­ избежать путаницы, так как все символы
но, чем логическое НИЗКИЙ.
Значения «минимальный», «типовой» и «макси­
выглядят одинаково.
мальный» в электронных спецификациях требуют не­ Только что описанны м методом мы
скольких слов для пояснения. Наиболее просто, преобразовали число из двоичной формы
изготовитель гарантирует, что компоненты будут по­ в десятичную. Для того чтобы произвес­
падать в диапазон минимум-максимум с наибольшей ти обратное преобразование, десятичное
вероятностью к «типовому». Это означает для типо­
вых спецификаций, которые вы используете при про­ число нужно последовательно делить на
ектировании схем, что эти схемы должны работать 2, каждый раз записывая остаток. Для
надежно внутри диапазона, задаваемого минимумом преобразования числа 1310 в двоичное
и максимумом. В частности, хорошо спроектиро­ нужно произвести следующие операции:
ванная схема должна функционировать при всех воз­ 13/2 = 6, остаток 1; 6/2 = 3, остаток 0;
можных комбинациях минимальных и максимальных
значений (даже на самый плохой случай). 3/2 = 1, остаток 1; 1/2 = 0, остаток 1; это
дает 13|0 = 11012 . Заметим, что ответ
Цифровые схемы 499

образуется, начиная с младшего значаще­ в р ан н и х ЭВМ бы ли п ри н яты 12- и


го разряда (МЗР). 32-разрядные слова, которые использова­
Шестнадцатиричное представление чи­ ли 6-разрядное представление буквен­
сел. Для описания систем только с двумя но-цифровых знаков. Поскольку 6-разряд-
состояниями естественно применять дво­ ные знаки было логично представлять
ичные числа. Однако, как будет показа­ в восьмиричном коде, внедрилась эта сис­
но ниже, это не единственный способ. тема счисления. Она сохранилась до нас­
Поскольку двоичные числа имеют боль­ тоящего времени и с успехом применяется
шую длину, для их записи используется для записи двоичных чисел, однако за­
ш естнадцатиричное (с основанием 16) частую может создавать определенные
представление. Для записи двоичного неудобства.
числа в шестнадцатиричном коде его раз­ Упражнение 8.1. Запишите восьмиричное представ­
бивают на группы по 4 бит, каждая из ление в коде ASCII символов “а” и “Ь”, используя
которых может принимать значения от О шестнадцатиричное значение, приведенное ранее.
Затем запишите восьмиричное представление 16-раз-
до 15. Поскольку для обозначения каж­
рядного слова, составленного из двух байт вместе
дой шестнадцатиричной позиции мы хо­ “ab”». Почему они различаются? Определите, каким
тим использовать один символ, величины будет восьмиричное представление 16-разрядного сло­
10-15 будем обозначать буквами латин­ ва, содержащего сочетание “Ъа” в коде ASCII.
ского алфавита от А до F: Двоично-десятичный код. Другим мето­
707 = 10110000112 = (10 1100 00112) дом представления чисел является двоич­
= 2С316. ное кодирование каждой десятичной циф­
ры, записываемой в виде группы из 4 дво­
Шестнадцатиричное представление луч­ ичн ы х р азр я д о в . Н а п р и м е р , 13710 =
шим образом соответствует байтовой = 0001 00110111 (двоично-десятичный
(1 байт = 8 бит) структуре ЭВМ, которая код). Заметим, что двоично-десятичное
чаще всего реализуется в виде 16- или представление числа не эквивалентно
32-разрядных машинных «слов», при этом двоичному, которое в данном случае бу­
каждое слово состоит из 2 или 4 байтов. дет иметь вид: 137ю - 10001001г Можно
Буквенно-цифровые знаки (буквы, цифры считать, что разряды двоично-десятичного
или символы) представляются в виде од­ кода, начиная с правого, выражают чис­
ного байта. Таким образом, каждый байт ла 1, 2, 4, 8, 10, 20, 40, 80, 100, 200, 400,
в шестнадцатиричной системе состоит из 800 и т.д. Очевидно, что двоично-деся­
двух шестнадцатиричных цифр, 16-разряд- тичное кодирование с точки зрения ис­
ное машинное слово из 4-х шестнадцати­ пользования двоичных разрядов не эко­
ричных цифр и т.д. номично, поскольку каждая группа из 4
Н априм ер, в ш ироко используемом бит способна представлять числа от 0 до
коде ASCII (см. разд. 10.19) малое «а» 15, но используется для записи числа, не
в ASCII-представлении есть 01100001 (61 превышающего 9 (за исключением редкого
в шестнадцатиричном коде, который запи­ случая записи цифровой информации с
сывается как 61н), «Ь» есть 62н и т. д. четным паритетом на 7-дорожечную маг­
Таким образом, слово “nerd” может быть нитную ленту). Двоично-десятичное ко­
сохранено в двух 16-битных словах, кото­ дирование очень удобно в тех случаях,
рые имеют значения 6D65H и 7274н. Как когда требуется воспроизвести число в
другой пример, размещение памяти в ком­ десятичной форме, так как в этом случае
пьютере с памятью 64К (65536 байт) мо­ каждый двоично-десятичный символ нуж­
жет определяться 2-байтным адресом, но лиш ь преобразовать в соответствую­
поскольку 216 = 65536, наинизший адрес щее десятичное число, а затем вывести его
есть 0000н, наивысший — F F F F H, вторая на индикацию . (Для выполнения этой
половина памяти начинается с 8000н, а функции существуют специальные ИМС;
четвертая четверть памяти —с СОООн. Вы в одном небольшом корпусе с простой то­
случайно можете встретить «восьмирич- пологией они содержат дешифратор дво­
зую» запись (основание 8), к сожалению, ично-десятичного кода, формирователи
500 Глава 8

сигналов, буферный регистр и индикатор. Таблица 8.1. 4-разрядные двоичные числа в трех
На вход такой схемы нужно лишь подать системах представления
логические уровни двоично-десятичного Целое Прямой Смещенный Дополни­
символа, после этого на ней высвечива­ код код тельный код
ется соответствующая цифра). По этой
+ 7 01111 1111 0111
причине двоично-десятичное кодирование + 6 0110 1110 0110
используется обычно при вводе и выводе + 5 0101 1)01 0101
цифровой информации. К сожалению, + 4 0100 1100 0100
преобразование между двоично-десятич- + 2 0010 1010 0010
ным и чисто двоичным кодом сложно, так + 1 0001 1001 0001
0 0000 1000 0000
как каждая десятичная цифра зависит от - 1 1001 0111 1111
состояния почти всех двоичных разрядов -2 1010 ОНО 1110
и наоборот. Тем не менее двоичная ариф­ -3 1011 0101 1101
метика настолько эффективна, что в боль­ -4 1100 0100 1100
шинстве ЭВМ вся входная информация -5 1101 0011 1011
-7 1111 0001 1001
преобразуется в двоичную форму, а об­ -8 - 1000 1000
ратное преобразование производится лишь (-0 ) 1000 - —
при ее выводе. Представьте себе, сколь­
ко усилий было бы сэкономлено, если бы Смещенный код. Смещенный код явля­
Homo sapiens имел 8 или 16 пальцев! ется вторым методом представления числа
Упражнение 8.2. Преобразуйте в десятичный код со знаком. Чтобы получить смещенный
следующие числа: а) 1110101,01102 , б) 11,010101012, код какого-либо числа, нужно к этому
в) 2АН. Преобразуйте в двоичный код следующие числу, представленному в прямом коде,
числа: а) Ю23|0, б) Ю23|6. Преобразуйте в шестнад­ прибавить половину наибольшего возмож­
цатиричный код следующие числа: а) 10231(|, ного числа (табл. 8.1). П оследователь­
б) 1011101011012, в) 61453,,,.
ность всех чисел благодаря этой операции,
Числа со знаком. Прямой (знаковели­ начиная с наибольшего отрицат. числа и
чинный) код. Рано или поздно возникнет кончая наибольш им положит, числом,
необходимость представлять отрицатель­ представляет простую двоичную прогрес­
ные числа в двоичном коде; в первую оче­ сию и может быть сформирована с помо­
редь это потребуется в устройствах, ко­ щью двоичных счетчиков. Информацию
торые выполняют вычислительные опе­ о знаке здесь также несет старший раз­
рации. Самое простое - отвести один ряд, но нуль становится однозначным.
разряд (скажем, старший) под знак чис­ Смещенный код используется в АЦП и
ла, а остальные использовать для пред­ ЦАП (преобразователях), однако он еще
ставления его величины. Этот способ неудобен для выполнения вычислений.
называется знаковеличинным или п ря­ Дополнительный код. При выполнении
мым кодом и соответствует обычной за­ операций над целыми числами чаще ис­
писи числа со знаком (табл. 8.1). Он пользуется представление чисел в форме
используется при выводе чисел на инди­ дополнения до двух, или, иначе, в допол­
кацию, а также в некоторых аналогоциф­ нительном коде. В такой системе поло­
ровых преобразователях (АЦП). Вообще жительные числа записываются просто как
же это не лучшая форма представления двоичные без знака, а отрицательные вы­
чисел со знаком, особенно при выпол­ ражаются таким числом, которое, будучи
нении вычислений, так как в данном слу­ добавлено к положительному числу той
чае операции вычитания и сложения вы­ же величины, даст в результате нуль. Что­
полняются по-разному (т. е. сложение бы получить отрицательное число, нужно
«не работает» для чисел со знаком). К ро­ для каждого бита положительного числа
ме того, здесь могут присутствовать нули сформировать дополнение до 1, или об­
двух типов (+ 0 и —0), поэтому при вы­ ратный код (т. е. вместо каждого 0 запи­
боре нужного из них следует быть очень сать 1 и наоборот), и затем к полученно­
внимательным. му результату прибавить 1 (это даст до­
Цифровые схемы 501

полнительный код). Из табл. 8.1 видно, в других устройствах. Он носит назва­


что числа в дополнительном коде отлича­ ние кода Грея и обладает тем свойством,
ются от чисел в смещенном коде инверс­ что при переходе от любого его состоя­
ным значением старшего значащего раз­ ния к следующему изменяется лиш ь один
ряда (СЗР). Точно так же как и при дру­ разряд (бит), что позволяет предотвра­
гих формах представления, С ЗР несет тить ошибки, поскольку в данном случае
информацию о знаке. Здесь имеется толь­ при переходе между двумя закодирован­
ко один нуль, который удобно представ­ ными значениями все разряды никак не
ляется нулевыми состояниями всех раз­ могут измениться одновременно. Если
рядов (при очистке счетчика или регист­ бы использовался чисто двоичный код,

.
ра в них заносится нулевое значение). то при переходе, например, от 7 к 8 на
Арифметика в дополнительном коде. входе можно было бы получить число 15
Арифметические операции в дополнитель­ Для формирования состояний кода Грея
ном коде выполняются довольно просто. существует простое правило: начинать
Ч тобы п о лу ч и ть сум м у двух ч и с е л , нужно с нулевого состояния, а затем для
достаточно сложить соответствующие раз­ получения каждого следующего нужно
ряды (с учетом переноса), например выбрать самый младший разряд, измене­
5 + (-2 ): 0101 ( + 5) ние которого приводит к образованию
1110 ( —2) нового состояния, и взять его инверсное
значение.
ООП ( + 3)
Чтобы вычесть В из А, нужно взять до­ 0000
полнительный код числа В и прибавить 0001
его к числу А (т. е. прибавить отрица­ 0011
тельное число): 0010
0110
2 - 5 :0 0 1 0 ( + 2) 0111
1011 ( - 5)( + 5 = 0101): обратный 0101
ко д : 1010, дополнитель­ 0100
ный код: 1011) 1100
1101 ( - 3 ) 1101
1111
Умножение в дополнительном коде вы­ 1110
полняется также непосредственно. П о­ 1010
пробуйте сделать следующие упражнения. 1011
Упражнение 8.3. Используя 3-разрядный допол­ 1001
нительный код, произведите двоичное умножение 1000
+ 2 на -3. Подсказка: ответ равен —6.
Коды Грея могут содержать любое чис­
Упражнение 8.4. Покажите, что дополнительный ло разрядов. Они применяются при «па­
код числа - 5 равен + 5.
раллельном кодировании» — методе быс­
Дополнительный код благодаря есте­ тродействующего аналогоцифрового пре­
ственности вычислений в нем повсемест­ образования (будет рассмотрен ниже).
но используется в ЭВМ для выполнения В следующем разделе мы покажем вза­
арифметических операций над целыми имные соответствия между кодом Грея и
числами (но следует отметить, что числа двоичным кодом.
с «плавающей запятой» обычно исполь­
зуются в знаковеличинной форме, назы­ 8.04. Вентили и таблицы истинности
ваемой знак-порядок-мантисса).
Код ГРЕЯ. К од, рассм атр и в аем ы й Комбинационная и последовательная
ниж е, и сп о л ьзу ется в м ех ан и ч еск и х (последовательностная) логика. Сущность
шифраторах угла поворота вала, а также цифровой электроники — выработка вы-
502 Глава 8

ходных цифровых сигналов в соответ­ памяти служит триггер (или мультивиб­


ствии с входными. Например, сумматор ратор с двумя устойчивыми состояния­
может принять на свои входы два 16-раз- ми). Рассмотрим вначале вентили и ком­
рядных числа и сформировать на выходе бинационную логику, так как они явля­
16-разрядную сумму (плюс п ерен ос). ются основой для п остроен и я лю бых
Можно сделать также схему для умноже­ цифровых схем. При переходе к после-
ния двух чисел. Такого типа операции додательным логическим устройствам мир
должен уметь выполнять процессор ЭВМ. цифровой техники станет значительно
Другая задача сравнение двух чисел с це­ более интересным, однако и вентили сами
лью удостовериться в том, что «все сис­ по себе также весьма любопытны.
темы действуют нормально». Возможно, Вентиль И Л И . Выход вентиля ИЛИ
вы захотите дополнить паритетным би­ имеет ВЫ СОКИЙ уровень, если хотя бы
том число, подлежащее передаче по ка­ на одном из его входов присутствует ВЫ­
налу связи так, чтобы общее количество С О К И Й уровень. Это можно выразить с
«единиц» в нем стало четным: проверка помощью «таблицы истинности», пред­
паритета на приемной стороне обеспечи­ ставленной на рис. 8.2, где показан вен­
вает простой контроль правильности п е­ тиль ИЛИ на 2 входа. В общем случае
редачи. Еше одна типичная задача зак­ число входов не ограничено, однако в
лючается в том, чтобы взять какие-либо стандартном корпусе микросхемы обыч­
числа, выраженные в двоичном коде, а но размещаются четыре 2-входовых вен­
затем воспроизвести их на экране, от- тиля, три 3-входовых или два 4-входовых.
перфорировать или отпечатать в виде де­ Например, на выходе 4-входового венти­
сятичных знаков. Состояние выхода (или ля ИЛИ ВЫ СОКИЙ уровень будет при­
выходов) во всех этих задачах является сутствовать в том случае, если он подан
предопределенной функцией состояния на любой из его входов. Для обозначения
входа или входов. Задачи, относящ иеся операции ИЛИ в булевой алгебре исполь­
к этому классу, называются «комбина­ зуется символ + . Функция «А ИЛИ В»
ционными» и могут быть решены с по­ записывается как А + В.
мощью вентилей - устройств, которые Вентиль И. Выход вентиля И имеет
выполняют операции булевой алгебры высокий уровень только в том случае,
в системах с двумя состояниями (двоич­ если ВЫ СОКИЙ уровень присутствует на
ных). обоих его входах. Символическое изоб­
Существует другой класс задач, кото­ ражение вентиля и его таблица истиннос­
рые нельзя решить лишь путем формиро­ ти даны на рис. 8.3. Вентили И, выпус­
вания комбинационных функций текущих каемые промышленностью также как и
значений входных сигналов и которые вентили ИЛИ, могуть иметь 3, 4, а иног­
требуют знания их прежнего состояния. да и большее число входов. Н апример,
Для решения этих задач необходимо при­ 8-входовой вентиль И вырабатывает на
менять «последовательные» схемы. К за­
дачам такого типа относится преобразо­
вание строки двоичных разрядов из пос­
л ед о в ател ьн о й ф о р м ы (о д и н р азр я д
следует за другим во времени) в парал­
или =D-
лельную группу разрядов, подсчет числа Входы Выходы Входы Выходы
единиц, распознавание заданной опреде­ А В Q А В Q
ленной кодовой комбинации и последо­
0 0 0 0 0 0
вательности битов или, например, фор­
0 1 1 0 1 0
мирование одного выходного импульса 1 0 1 1 0 0
после поступления четырех входных. Для
1 1 1 1 1 1
решения всех этих задач требуется в ка-
кой-либо форме цифровая память. Ос­
новным устройством для построения этой- Рис. 8.2. Рис. 8.3.
Цифровые схемы 503

А-
“0 ^ В- О
ИНВЕРТОР И-НЕ И ЛИ-НЕ
Входы Выходы Входы Выходы Входы Выходы
А Q А В Q А В Q
0 1 0 0 1 0 0 1
1 0 0 1 1 0 1 0
1 0 1 1 0 0
8.4.
1 1 0 1 1 0

выходе ВЫСОКИЙ уровень только в том Рис. 8.5.


случае, если на всех его входах действует
ВЫСОКИЙ уровень. А.
Для обозначения операции И в булевой В ■
алгебре используется точка (■), которая Исключающее ИЛИ
может быть опущена, функция «А и В»
записывается как А • В, или просто АВ. Входы Выходы
Инвертор (функция НЕ). Иногда бывает А В Q
нужно получить дополнение (инверсию) 0 0 0
логического сигнала. Эту функцию вы ­ 0 1 1
полняет инвертор —вентиль, который име­ 1 0 1
ет только один вход (рис. 8.4). Для обо­ 1 1 0
значения операции НЕ в булевой алгебре Рис. 8.6.
используется черта над символом или апо­
строф ; «Н Е А» зап и сы в а ется к ак А что такие вентили имеют более широкое
или А. Для удобства вместо “ для указа­ распространение, чем просто И и ИЛИ
ния отрицания часто используются сим­ (рис. 8.5).
волы / , *, таки м о б р азо м , НЕ Исключающее ИЛИ. Большой интерес
А можно записать любым из следующих п редставляет л оги ч еская ф ун кц ия
способов: А', - А , *А, /А , А*, А /. Мы «Исключающее ИЛИ», хотя она не отно­
используем в этой книге запись А'. сится к числу основных (рис. 8.6). На вы­
И-НЕ и ИЛИ-HE. Вентили могут со­ ходе вентиля «Исключающее ИЛИ» ВЫ­
вмещать инвертирование с выполнением СОКИЙ уровень сформируется в том слу­
функций И и ИЛИ. Далее будет показано, чае, если он будет подан на один из его
Двоичный код Код Грея Код Грея Двоичный код
СЗР СЗР п --------------------------------------------- Ь,

9, , . - 5 5

МЗР 9о
„ -5 5 >
Рис. 8.7. Параллельные преобразователи двоичного кода в код Грея (а) и кода Грея в двоичный (б).
504 Глава 8

входов (но не на оба одновременно). Дру­


гими словами, ВЫСОКИЙ уровень дей­
ствует на выходе тогда, когда входы име­ ,0 кОм
ют различное состояние. Этот вентиль Дг
может иметь только два входа. Операция 44-
«Исключающее ИЛИ» подобна сложению Дг
двух бит по модулю 2. 44-
Упражнение 8.5. Покажите, как вентиль «Исклю­
чающее ИЛИ» может быть использован в качестве Рис. 8.8.
«модифицируемого инвертора», который в зависи­
мости от уровня на управляющем входе, может либо Вообще логические схемы, построенные
инвентировать входной сигнал, либо передавать его на дискретных элементах, не обладают
на выход без инверсии (буферировать). тем и св о й с тв а м и , ко то р ы е п ри сущ и
Упражнение 8.6. Проверьте, действительно ли схе­ ИМ С. Преимущества логических схем на
мы, изображенные на рис. 8.7, преобразуют двоич­ ИМ С связаны отчасти с применением спе­
ный код в код Грея и наоборот. циальной технологии (например, ионная
имплантация), которая позволяет получать
8.05. Схемы вентилей хорошие характеристики.
на дискретных элементах Простейшая схема транзисторного вен­
тиля И Л И -H E показана на рис. 8.9. Эта
Прежде чем перейти к вопросу использо­ схема использовалась в семействе логи­
вания вентилей, рассмотрим, как они стро­ ческих элементов РТЛ (резисторно-тран-
ятся с помощью дискретных элементов. зисторная логика), которые из-за низкой
На рис. 8.8 показан диодный вентиль И. стоимости были популярны в 1960-х го­
Если на каком-либо его входе действует дах, но в настоящее время они совершен­
Н И ЗК И Й уровень, то он будет действо­ но не употребляются. ВЫ СОКИЙ уро­
вать и на выходе, а ВЫ СОКИЙ уровень вень, действующий по любому входу (или
на выходе возникает только в том слу­ по обоим одновременно), откроет хотя бы
чае, если он будет присутствовать на обо­ один транзистор и на выходе возникнет
их входах. Эта схема обладает рядом н е­ Н И ЗК И Й уровень. Поскольку по своей
достатков: а) Н И ЗК И Й уровень на вы ­ сути такой вентиль является инвертирую­
ходе выше Н ИЗКО ГО уровня на входе щим, то для того чтобы получить из него
на величину падения на диоде. Есте­ вентиль И Л И , к нему нужно добавить
ственно, слишком много диодов ставить инвертор, как показано на рисунке.
нельзя; б) отсутствует «разветвление по
выходу», т. е. возможность питать од­ 8.06. Пример схемы с вентилями
ним выходом несколько входов, так как
выходная нагрузка действует на входной Теперь попробуйте создать схему, кото­
сигнал; в) низкое быстродействие, обус­ рая решала бы логическую задачу, приве­
ловленное резисторной нагрузкой. денную в качестве примера в гл. 1 и 2:
+У„„

Рис. 8.9.
Цифровые схемы 505

t> -p = D -e
+ (ВЫСОКИЙ)
Рис. 8.10.

^ Х > -
+ (ВЫСОКИЙ)

Рис. 8.11.
д -±г е
_ != 0
гудок автомобиля должен включаться,
когда открыта любая дверь, а водитель Рис. 8.12.
сидит в машине. Ответ будет очевидным, будет иметь Н И З К И Й уровень, когда
если сформулировать эту задачу таким любой из входов имеет Н И ЗК И Й уро­
образом: «На выходе действует ВЫСО­ вень. Назовем эту функцию Л Ю БО Й '.
КИЙ уровень, если открыта левая ИЛИ Теперь определим состояние, когда сиг­
правая дверь И водитель сидит в маш и­ налы Л Ю БО Й ' и S ' имеют Н И З К И Е
не», т. е. Q = (L + R) S. Как решать эту уровни, т. е. нужно отличить от остальных
задачу с помощью вентилей, показано на состояние, когда «оба входа имеют Н И З­
рис. 8.10. Выход ИЛИ имеет ВЫ СОКИЙ К И Й уровень». Эта операция выполня­
уровень, когда одна ИЛИ другая дверь ется с помощ ью вентиля ИЛИ. П олу­
(или обе вместе) открыты. Если это так ченная схема показана на рис. 8.11. Вместо
и водитель сидит в маш ине, Q имеет вентиля ИЛИ мы пользовались вентилем
высокий уровень. Добавив транзистор, И Л И -H E для того, чтобы иметь такой же
можно сделать так, чтобы эта схема вклю­ выход, как^ и в предыдущей схеме, т. е.
чала гудок или замыкала контакт реле. ВЫ СОКИЙ уровень 0 п р и желаемом со­
В реальных устройствах ключи, кото­ стояни и . Но здесь п роизош ло ч то-то
рые вырабатываю т входные сигналы , странное: по сравнению с предыдущей
обычно замыкают цепь на землю. (Это схемой вместо вентиля И мы врспользо-
делается для сокращения монтажных свя­ вались вентилем ИЛИ (и наоборот). Этот
зей, а также по другим причинам, кото­ случай подробно рассмотрим в разд. 8.07.
рые, в частности, связаны с использова­
нием широко распространенных логи­ Упражнение 8.7. Определите, какие функции вы­
полняют схемы, изображенные на рис. 8.12.
ческих элементов типа ТТЛ и вскоре будут
рассмотрены.) Это означает, что при от­ Взаимозаменяемость вентилей. При по­
крывании дверей сигналы на входах будут строении цифровых схем надо помнить,
иметь Н И ЗКЙ Й уровень, т. е. мы будем что из вентиля одного типа можно полу­
иметь входы, использующие отрицатель­ чить вентиль другого типа. Например,
ную логику. С учетом этого построим для если вам нужен вентиль И, а у вас есть
данного примера новую схему, обозначим половина стандартной ИМ С 7400 (4 И -Н Е
ее входы через £', R ' и S' . Сначала здесь на два входа), то вы можете произвести
нужно определить, действует ли Н И З ­ замену, как показано на рис. 8.13. Второй
КИЙ уровень на каком-либо из входов вентиль используется в качестве инвен-
(L', R ), связанных с дверцами автомо­ тора, в результате этого получается функ-
биля, т. е. состояние «оба входа имеют
ВЫСОКИЙ уровень» нужно отличать от
остальных. Это выполняется с помощью
схемы И, следовательно, сигналы L' и R '
(= Ю )
нужно подать на входы вентиля И. Выход Рис. 8.13.
506 Глава 8

ция И. Лучше понять эту идею вам помо­ СБРОС -----1— у - П -


0БЩ ИИСБР00
гут следующие упражнения. ТйТ.— I У УСТАНОВКА
УСТАНОВКА НУЛЯ'
Упражнение 8.8. Покажите, как сделать с помо­
щью 2-входовых вентилей: а) НЕ из вентилей КЛИ­ Рис. 8.14.
НЕ; б) ИЛИ из вентилей ИЛИ-HE и в) ИЛИ из
вентилей И-НЕ.
СБРОС _П_
ОБЩИЙ СБРОС
УСТАНОВКА НУЛЯ УСТАНОВКА
Упражнение 8.9. Покажите, как сделать: а) 3-вхо-
довую схему И с помощью схемы И на два входа; Рис. 8.15.
б) 3-входовую схему ИЛИ с помощью схемы ИЛИ
на два входа; в) 3-входовую схему ИЛИ-HE с по­ и было сделано нами ранее. Например,
мощью схем ИЛИ-HE на два входа; г) 3-входовую если вам нужно определить, имеет ли один
схему И с помощью схемы И-НЕ на 2 входа.
из трех входов Н И ЗКИ Й уровень, исполь­
Путем многократного использования зуйте 3-входовой вентиль И -Н Е. По всей
и н в ен ти р у ем о го в ен ти л я (н а п р и м е р вероятности, этим методом пользуется
И-НЕ) одного типа можно реализовать больш инство разработчиков цифровы х
любую комбинационную функцию. Од­ схем. Следуя этим путем, вы начертите
нако это не относится к неинвентирую- вентиль И -Н Е , даже если по отношению
щему вентилю, так как с его помощью к своим входам он выполняет функцию
функцию НЕ никаким способом получить И Л И -H E (при отрицательной логике).
нельзя. Именно по этой причине скорее Вероятно, вы при этом обозначите вхо­
всего вентили И -Н Е получили наибольшее ды, как показано на рис. 8.14. В данном
распространение в логических схемах. п ри м ере си гн ал ы сб р о са - С Б Р О С '
(CLEAR ), О С ' - О БЩ И Й СБРО С, M R '
8.07. Логические обозначения (m aster reset) и У С ТА Н О В К А В «О»
при заданных уровнях (R ESET’), поступающие из различных то­
чек схемы, будут иметь уровни отрица­
Вентиль И будет иметь ВЫСОКИЙ уро­ тельн ой л оги ки . В ы ходной си гн ал
вень на выходе, когда ВЫСОКИЙ уро­ СБРО С, представленный в положитель­
вень будет действовать на обоих его вхо­ ной логике, будет подаваться на различ­
дах. Если ВЫСОКИЙ уровень обознача­ ные устройства, которые должны сбрасы­
ет «истину», то «истина» будет на выходе ваться, если любой из сигналов установ­
в том случае, если она присутствует на ки в исходное состояние имеет Н И ЗК И Й
всех входах. Другими словами, при ис­ уровень («истина»).
пользовании положительной логики вен­ Другой способ решения задачи сигна­
тиль И выполняет логическую функцию лов о три ц ател ьн ой л оги к и состои т в
И. То же самое касается и вентиля ИЛИ. использовании метода «заданных уров­
Что произойдет^ если «истину» будет обо­ ней». Если вентиль И выполняет функ­
значать НИЗКИЙ уровень, как это было в цию ИЛИ, используя на входах отрица­
предыдущем примере? Вентиль И даст на тельную логику, то изобразите его, как
выходе НИЗКИЙ уровень, если на любом показано на рис. 8.15. Вентиль ИЛИ на 3
из его входов была «истина» (Н И ЗК И Й входа с отрицательными входными сиг­
уровень), но это функция ИЛИ. С другой налами аналогичен по выполняемой фун­
стороны, вентиль ИЛИ сформирует НИ З­ кции 3-входовому вентилю И -Н Е. Эта эк­
КИЙ уровень лишь в том случае, если «ис­ вивалентность представляет собой важный
тина» будет на обоих его входах. Но это принцип —свойство логических цепей, ко­
функция И! Какая путаница! торы й назы вается теорем ой М органа.
Существует два пути для разрешения Ниже мы кратко рассмотрим некоторые
этой проблемы. Первый заключается в п о л езн ы е со о тн о ш е н и я , и д ен ти ч н ы е
том, что, уяснив себе суть данной задачи цепи, а сейчас вам достаточно знать, что
цифрового проектирования, разработчик вы можете заменять И на ИЛИ и наоборот,
выбирает такой тип вентиля, который проинвертировав при этом выход и все
позволит получить требуемый выход, что входы. На первый взгляд логика заданных
Цифровые схемы 507

работающий при положительной логике,


согласно первоначальному определению.
Примечание. Логические функции И и
ИЛИ не следует путать с указанными в
s ----------------- законах экв и вал ен тн ы м и п он яти ям и .
Рис. 8.16. В увесистом томе правил, известном под
н азв ан и ем «С лова и ф разы », свы ш е
уровней может показаться малопривлека­ 40 страниц посвящается ситуациям, в ко­
тельной, поскольку при ее использовании торых И можно использовать как ИЛИ.
начертание вентилей приобретает стран­ Например, «ИЛИ в случае необходимос­
ный вид. Но она лучше, чем рассмотрен­ ти мож но трактовать как И, а И как
ный выше способ, так как логические ИЛИ». Однако это не имеет ничего об­
функции в такой схеме ясно обозначены, щего с теоремой Моргана!
применяя эту схему в течение некоторого
времени, вы найдете, что она весьма удоб­ ТТЛ И КМОП
на, и не захотите использовать ничего
другого. Попробуйте снова решить при­ ТТЛ (транзисторно-транзисторная логи­
мер с автомобильной дверцей с помощью ка) и КМ ОП (комплементарные М ОП-
логики заданных уровней (рис. 8.16). структуры) представляют собой в насто­
Левый вентиль определяет, когда L или R ящее время два наиболее распространен­
имеют «истинное» значение (т. е. Н И З­ ных семейства логических элементов.
КИЙ уровень), и вырабатывает выходной Огромное количество И М С обоих се­
сигнал в отрицательной логике. Второй мейств, выполняющих самые разнообраз­
вентиль дает на выходе ВЫ СОКИЙ уро­ ные функции, выпускаются по меньшей
вень, если оба входных сигнала (L + R ) мере десятью фирмами. С помощью этих
имеют «истинное» значение, т. е. Н И З­ семейств можно удовлетворить все потреб­
КИЙ уровень. Согласно теореме Морга­ ности, которые возникают при построе­
на (через некоторое время она вам уже не нии цифровых схем и устройств. Исклю­
потребуется, так как вы будете опозна­ чение может составить область схем боль­
вать эти вентили как равноценные), пер­ шой степени интеграции (БИС), в которой
вый вентиль представляет собой И, а вто­ преобладают МОП-структуры и сверхбы­
рой вентиль ИЛИ точно так же, как и в стродействующая логика, в которой гос­
ранее изображенной схеме. Следует от­ подствуют приборы из арсенида галлия
метить здесь два важных момента: и эм иттерн о-связанн ая логика (ЭСЛ).
1. Термин «отрицательная логика» не В дальнейшем в основном будут рассмат­
означает, что логические уровни имеют риваться эти семейства.
отрицательную полярность. Он лишь го­
ворит, что «истинное» утверждение опре­ 8.08. Каталог идентичных вентилей
деляется тем из двух состояний, которое
имеет меньший уровень (Н И ЗК И Й ). В табл. 8.2 приведены идентичные вен­
2. При символическом изображ ении тили, которые существуют в семействах
вентиля предполагается, что он исполь­ логических элем ентов ТТЛ и К М О П .
зует положительную логику. Выполняю­ Каждый вентиль изображается в своей
щий функцию ИЛИ для сигналов отри­ нормальной форме (для положительной
цательной логики вентиль И -Н Е, может логики), и, кроме того, показано, как он
изображаться либо как И -Н Е, либо с ис­ выглядит при использовании отрицатель­
пользованием логики заданных уровней с ной логики. В последней строке приве­
обозначением в форме ИЛИ с символа­ ден вентиль И -И Л И -Н Е.
ми инверсии на входах (маленькие круж­ Небольшие пояснения: цифровая логи­
ки). В последнем случае эти кружки обо­ ка представлена в 10 популярных «субсе­
значают инверсию входных сигналов, ко­ мействах» (КМ ОП: 4000В, 74С, 74НС,
торые п о сту п аю т н а в ен ти л ь И Л И , 74Н С Т, 74АС, 74ACT; И ТТЛ: 74LS,
> > > > > > > > > > > > > > > > > > > > >

о > > > > > > > > > > >
<

> > > > > > > >> >

>>>>>>> > >> >>> > > >>

>>>>>>>>> >> > >>> > >

>>>>>>> > >>> > >

>>>>>>>>> >>> >>> >

^ чО —
,О _f О »r> СЧ 22 krT ^O
оО о— -N - Oо о— оM оW ^- м
j n I 40 , S
! CM g ( N « -
^ so w V)
r> _ J r s « o n
T}- ^ Tl- Tf rf ^ I N I. Tt
•Г-. 1ПЮ
T
^ ^ w ^l-
I

Tf
—n fN— n fN X —' m сч — m сч m so
00 r-« OO— СЧ — 40 Г-
c
о о оTj- оT о оТ|- оTf о
J-^ оTf Г- Г" оо гч
о'Ч' огг от|- ооTf oo oo
оTf ’о'3-
s SO
оTf
и

c
о

CQ й
о £
Ч I
p (Q CQ (Q П CQ Я
§ § § § § О(N
H 5
о 3о5о 5о 3о9о о о ООО §X I
Т Т Т Т Т Т Т г о Т Т Т Т Т I т т
fSfOTj-cNfO^j-oo — гчго^-гчго^иооо

i s

(") (■) А
ОС)
H со CQ
I
<D <
+ к I
=t <
s
N
Об
ш Cl
Q 5
гг X О.
ss ш I О л =;
v§ I S
fi « о * о&=?
J.
X x fl)
Цифровые схемы 509

74ALS, 74AS, 74F), каждое из которых


+ У КК = +5 В
выполняет одни и те же функции и имеет
хорошую совместимость между собой.
Различаются они по быстродействию ,
рассеиваемой мощ ности, нагрузочной
способности и логическим уровням (см.
разд. 8.09 и 9.02). Наилучшим для боль­
шинства применений является семейство
«высокоскоростная КМОП-логика», обо­
значаемая буквами НС после цифр 74,
например 74НСОО. Там, где требуется
совместимость с существующими бипо­
лярными TJl-схемами, вы должны и с­
пользовать НСТ (или, возможно, LS)-ce-
мейство. Для простоты мы в дальнейшем
в книге будем опускать буквы (и префикс
74-), обозначая типы цифровых ИМ С с
апострофом ( ' ) , например '00 для обозна­
чения 2-входового вентиля И-Н Е. Отме­
тим, что стандартные элементы ТТЛ (без
этих букв) в настоящее время почти пол­
ностью вышли из употребления. Мы опи­
шем интересную историю этих семейств
в разд. 9.01.

8.09. Принципиальные схемы


вентилей на ИМС

В обоих семействах (ТТЛ и КМОП) иден­


тичные вентили, например И, выполняют
одинаковые операции, тем не менее их
логические уровни, а также другие харак­
теристики (быстродействие, входной ток
и т. д.) совершенно различны. В общем Рис. 8.17. Маломощный ТТЛШ-вентиль И-НЕ (а);
КМОП-вентиль И (б).
случае нельзя смешивать два типа логи­
ческих семейств. Для того чтобы понять получается вентиль И-Н Е. Транзисторы
различия между ними, рассмотрим прин­ Т5 и Т6 образуют простой КМ ОП-инвер-
ципиальные схемы вентилей И, которые тор, благодаря которому мы получаем
представлены на рис. 8.17. вентиль И. Этот пример показывает, как
КМ ОП-вентиль построен на полевых строятся вентили И, И -Н Е , ИЛИ и
МОП-транзисторах обоих полярностей, И Л И -H E на любое число входов.
которые работают в режиме усиления и
соединены как ключи, а не как повторите­ Упражнение 8.10. Начертите схему 3-входового
КМОП-вентиля ИЛИ.
ли. Открытый полевой транзистор подо­
бен низкоомному резистору, подключен­ Биполярный LS (маломощная Шоттки
ному к шине питания. Для того чтобы технология) ТТЛ-вентиль И-Н Е, в основ­
открыть последовательно включенную ном содержит диодно-резисторную ло­
пару транзисторов Т}, ТА и закрыть на­ гику (рис. 8.8), управляющую транзис­
грузочные транзисторы Т и Т2, на оба торны м инвертором , нагруж енны м на
входа надо подать ВЫСОКИЙ уровень. двухтактный выход. Если на обоих входах
Это приведет к тому, что на выходе будет ВЫ СОКИЙ уровень, то через резистор
вырабатываться Н И ЗКИ Й уровень, т. е. 20 кОМ протекает базовый ток, откры­
510 Глава 8

вающий транзистор Т., что приводит КМ ОП-семейства НСТ и ACT спроек­


к появлению на выходе НИЗКОГО уров­ тированы с низким порогом срабатыва­
ня из-за насыщения Т4 и выключения ния для совместимости с ТТЛ, поскольку
Дарлингтоновской пары Т2 — Ту Если за­ биполярные ТТЛ не допускают отклоне­
тем на один из входов подать Н И ЗК И Й ния в питании +5 В (см. ниже).
уровень, то транзистор Т выключится, Входы КМ ОП элементов чувствитель­
а на выходе будет ВЫСОКИЙ уровень. ны к статическому электричеству и могут
Диоды и транзисторы с переходами Ш от­ выходить из строя при манипуляциях с
тки используются для повышения ско­ ними.
рости переключения. В обоих семействах на неиспользуемые
Заметим, что и ТТЛ-, и КМОП-вентили входы в зависимости от ситуации следует
обеспечивают «активный выход» с пита­ п од авать В Ы С О К И Й или Н И З К И Й
нием нагрузки от шины положительного уровни (далее об этом будет сказано).
источника. Рассмотренные выше дискрет­ Выход. Выходной каскад вентиля ТТЛ
ные вентили этой способностью не обла­ в состоянии НИЗКОГО уровня ведет себя
дают. как насыщенный транзистор, напряжение
на котором близко к потенциалу земли, а
8.10. Характеристики ТТЛ и КМОП в состоянии ВЫСОКОГО уровня — как
повторитель с высоким выходным напря­
Давайте сравним характеристики двух се­ жением, равным примерно напряжению
мейств: питания U + минус падение напряжения
Напряжение питания: + 5 В ±5% для на двух диодах. Для всех КМ ОП-семейств
ТТЛ, в то время как семейства КМОП (включая НСТ и ACT) выход представ­
имеют более широкий диапазон: от + 2 до ляет собой открытый полевой транзистор,
+ 6 В для НС и АС, от +3 до +15 В для подключенный к земле или к шине пита­
серий 4000В и 74С. Семейства НСТ и ния. О бы чно бы стродействую щ ие с е ­
ACT, разработанные для совместимости мейства (F, AS, AC, ACT) имеют более
с биполярными ТТЛ, требуют напряже­ высокую нагрузочную способность, чем
ния питания +5 В. медленные (LS, 4000В, 74С, НС, НСТ).
Вход. Вход вентиля ТТЛ в состоянии Быстродействие и мощность. Биполяр­
НИЗКО ГО уровня представляет собой ные ТТЛ-семейства потребляют значи­
токовую нагрузку для управляющего им тельный ток покоя - тем больший, чем
источника сигнала (типовое значение быстрее семейства (AS и F) при соответ­
0,25 мАдля серии LS), следовательно, для ствующих скоростях от 25 МГц (для LS)
поддержания на входе НИЗКОГО уровня до 100 МГц (для AS и F). Все К М О П -се-
необходимо обеспечить отвод тока. По­ мейства потребляют нулевой ток. Однако
скольку выходные каскады схем ТТЛ их рассеиваемая мощность линейно воз­
обладают хорошей нагрузочной способно­ растает с ростом частоты (требуется ток
стью, сопряжение между собой элемен­ для переключения емкостной нагрузки),
тов ТТЛ не представляет проблемы, но и КМ ОП-элементы, работающие на наи­
она может возникнуть, когда требуется высшей частоте, рассеивают часто такую
подключить входы ТТЛ к схемам другого же м ощ н ость, к ак эк в и в ал ен ты ТТЛ
типа. Наоборот, вентиль КМОП не имеет (рис. 8.18). Д иапазон бы стродействия
входного тока. КМ ОП-элементов простирается от 2 МГц
Логический порог ТТЛ определяется (для 4000В/74С при 5 В) до 100 МГц (для
падением напряжения на двух диодах по АСТ/АС).
отношению к земле (порядка 1,3 В), в то В основном замечательные характерис­
время как для элементов КМОП значе­ тики КМОП-семейств (ничтожная потреб­
ние входного порога равно приблизитель­ ляемая мощность, хорошая помехозащи­
но половине напряжения питания, но щенность) делают эту логику привлека­
может колебаться в широких пределах (ти­ тельной, и мы рекомендуем семейство НС
пично от 1/3 до 2/3 напряжения питания). для большинства новых проектов. Однако
Цифровые схемы 511

Скоростные (F),ycoBeptueHcrB. циальных мер. В следующей главе будут


Шоттки (AS) рассмотрены вопросы сопряжения между
100
Маломощные Шоттки (LS) / й различными логическими семействами, а
10 Усоверш. маломощные / / также между логическими схемами и вне­
Шоттки (ALS) шними устройствами.
КМОП
1
7 /г /у с ТТЛ-порогом
(ACT)
8.11. Элементы с тремя состояниями
од Быстродейств. и с открытым коллектором
" КМОП с ТТЛ- у у
порогом(НСТ)
Вентили ТТЛ и КМ ОП, которые мы сей­
0,01
Аг си= 50 пФ час рассматриваем, имеют двухтактные
выходные схемы: высокий или низкий
0,001
уровень подается на выход через откры­
~ /Г тый биполярный или М ОП-транзистор.
0,0001 ____I____
1 I____ I1____ 1I____ 1I____ 1|
1 Такую схему, называемую активной на­
10г 103 104 ю 5 ю 6 ю 7 ю 8
Частота, Гц
грузкой, а в ТТЛ называемую также стол­
бовым выходом, используют почти все ло­
Рис. 8.18. Зависимость мощности рассеивания гические элементы. Схема обеспечивает
от частоты. низкое выходное сопротивление в обоих
состояниях, имеет малое время п ере­
при увеличении быстродействия исполь­ ключения и обладает более высокой по­
зуйте семейство АС; для широкого диапа­ мехоустойчивостью по сравнению с оди­
зона питания, где не нужна высокая ско­ ночным транзистором, который исполь­
рость, используйте 74С или 4000В, исполь­ зует п асси в н ы й рези сто р в качестве
зуйте НСТ (или даже LS) для совмес­ коллекторной нагрузки. В случае КМ ОП
тимости с биполярными TTJI-выходами, применение активного выхода, кроме все­
если вам не нужно быстродействие ACT го прочего, позволяет понизить рассеива­
(или AS, или F). В некоторых примене­ емую мощность.
ниях с высокой плотностью размещения Но существуют ситуации, при которых
(память, микропроцессоры), КМ ОП-ус- активный выход оказывается неудобным.
тройства предпочтительней, ввиду их от­ Представим себе компьютерную систему,
носительно высокой мощности рассея­ в которой несколько функциональны х
ния. А для сверхвысокоскоростных при­ блоков должны обмениваться данными.
менений (выше 100 МГц) вы вынуждены Центральный процессор (Ц П ), память и
использовать Э С Л -элементы , которые различные периферийные устройства дол­
работают до частот 500 МГц, или и с­ жны иметь возможность передавать и по­
пользовать приборы из арсенида галлия, лучать 16-разрядные слова. И, мягко го­
которые функционируют до 4 ГГц. Смот­ воря, было бы неудобно использовать для
рите разд. 14.15 и табл. 9.1 для полного соединения каждого устройства с каждым
обсуждения логических КМОП. индивидуальный 16-жильный кабель. Для
В пределах одного логического семей­ разрешения этой проблемы используется
ства выходы элементов легко стыкуются так называемая шина (или магистраль)
с входами и обычно не стоит беспоко­ данных, т. е. один 16-жильный кабель,
иться о пороговых уровнях, входном токе доступны й для всех устройств. Такая
и т. п. Н апример, выходы элем ентов структура аналогична телефонному кана­
семейств ТТЛ или КМ ОП могут работать лу коллективного пользования: в каждый
не менее чем на 10 входов (характерис­ момент времени «говорить» («передавать
тика носит название коэффициента раз­ данные») может только одно устройство,
ветвления по выходу: для ТТЛ коэффи­ а остальные могут только «слушать» («при­
циент разветвления по выходу равен 10), нимать данные»).
таким образом, для обеспечения совмес­ Если используется шинная система, то
тимости не требуется применения спе­ необходимо иметь соглашение о том, кому
512 Глава 8

разрешено «говорить». В связи с этим ИМС: счетчиках, защелках, регистрах и


употребляются такие термины, как «ар­ т. п., а также в вентилях и инверторах.
битр шины», «задатчик шины» и «управ­ Устройство с выходом на 3 состояния
ление шиной». функционирует подобно обычной логике
Для возбуждения шины нельзя исполь­ с активным выходом, когда подан сигнал
зовать вентили (или другие схемы) с ак­ разреш ен и я, при этом н а выходе су­
тивным выходом, так как их нельзя от­ ществует либо высокий, либо низкий уро­
ключить от общих информационных ли­ вень. Когда на входе разрешения пассив­
ний (в любой момент времени выходы ный уровень, схема отключает свой вы­
устройств, подключенные к шине, будут ход, так что другие устройства могут
находиться в состоянии высокого или работать на ту же самую линию. Давайте
низкого уровня). В этом случае необхо­ рассмотрим это на примере.
дим вентиль, выход которого может на­ Взгляд вперед: шины данных. Драйверы
ходиться в «обрыве», т. е. быть отклю­ с тремя состояниям и ш ироко исполь­
ченным. Такие устройства выпускаются зуются для возбуждения шины данных
промышленностью и имеют две разно­ компьютера. Каждое устройство (память,
видности, которые носят названия «эле­ периферия и т. п.), которому необходимо
менты с тремя состояниями» и «элемен­ выставить данные на шину, связывается
ты с открытым коллектором». с ней через вентили с тремя состояниями
Логические схемы с тремя состояниями. (или через более сложные элементы —та­
Логические элементы с тремя состояния­ кие как регистры). Дела так умно устра­
ми, также называемые TRI-STATE (то­ иваются, что только одно устройство вы­
варный зн ак N ational S em iconductors дает разрешение своим драйверам (фор­
Corp., создавшей их) представляют эле­ м ирователям ), все другие устройства,
гантное решение. Название этих схем мо­ получив запрет, переходят в третье состо­
жет ввести в заблуждение, поскольку на яние. Обычно выбранное устройство «уз­
самом деле они не являются логическими нает» о том, что оно должно выдавать дан­
элементами с тремя уровнями напряже­ ные на шину, опознав свой адрес на ад­
ний. Это обычные логические схемы, ресных и управляющих шинах (рис. 8.20).
которые имеют третье состояние выхода — В этом наипростейшем случае устройство
«обрыв» (рис. 8.19). Они имеют отдель­ подключается как порт 6. Получив свой
ный вход разрешения, с помощью кото­ адрес (например 6) и импульс чтения,
рого могут устанавливаться либо в состо­ устройство вы водит дан ны е на ш ину
яние обычных активных выходов, либо D0 - Dy Такой шинный протокол исполь­
переходить в «третье» (обрыва) состояние зуется для многих простых систем. Нечто
независимо от того, какие сигналы при­ подобное имеет место в больш инстве
сутствуют на других входах. Выходы с микроком пью теров, как мы увидим в
тремя состояниями имеются во многих гл. 10 и 11.

'Ч НИЗКИИ "Ч


р _ > — -

JO — *00\ • Выход - Высокий jO —


J 1 Отключ.-------- J

1
1
1
к
t o — 1ч
1
Запрет Запрет
(= разрешение)

Рис. 8.19. КМ О П -вентиль И- НЕ с 3-м состоянием: а - поясняю щ ая схема; 6 — реализация


с использованием внутренних КМОП-вентилей.
Цифровые схемы 513

Данные

Адрес

Чтение

Рис. 8.20. Шина данных.

Заметим, что должна быть некоторая тысяч ом. Если вы захотели возбуждать
внешняя логика, которая обеспечивала шину с помощью вентилей с открытым
надежность того, что устройства с тремя коллектором (рис. 8.20), вы должны за­
состояниями, подключенные к одним и менить драйверы с тремя состояниями на
тем же выходным линиям, не будут пы ­ двухвходовые вентили И -Н Е с открытым
таться передавать в одно и то же вре­ коллектором, подключив один выход каж­
мя (что равносильно условию, официаль­ дого вентиля к высокому уровню разре­
но называемому «соглашение шины»), шения подключения к шине. Заметим,
В этом случае все хорошо, когда каждо­ что данные на шине при этом включении
му устройству соответствует свой адрес.
Логика с открытым коллектором. Пред­
шественником логики с 3 состояниями
была логика с открытым коллектором,
которая позволяет вам подключиться к
одиночной линии среди других выходов
нескольких формирователей. Выход с
открытым коллектором просто не вклю­
чает транзистор активной нагрузки в вы ­
ходном каскаде (рис. 8.21). Название «от­
крытый коллектор» превосходно. Когда
вы используете такие вентили, вы должны
подключать к источнику питания внешний
нагрузочный резистор. Его значение не
критично: при малых значениях резисто­
ра обеспечиваются повышенные быстро­
действие и помехоустойчивость, однако
повышается рассеиваемая мощность и на­
грузочный ток выходного каскада. Для
ТТЛ типичными являются значения в пре­ Рис. 8.21. Маломощный ТТЛШ-вентиль И-НЕ
делах от нескольких сотен до нескольких с открытым коллектором.
514 Глава 8

+ 15 + 5 В

U 3 - L - -T L T L ^ J

Рис. 8.22.

будут инвертированы. Каждую линию


шины необходимо через нагрузочный ре­
зистор подключить к +5 В. К недостат­
кам логики с открытым коллектором сле­
дует отнести пониженные быстродействие Рис. 8.23. Монтажное ИЛИ.
и помехоустойчивость по сравнению с
обычными схемами, использующими ак­ водное ИЛИ», соединение, которое ведет
тивную нагрузку. Вот почему драйверы с себя подобно большому вентилю И -Н Е,
тремя состояниями являются основными выдающему на выходе низкий уровень,
для реализации шин в компьютерах. Од­ если какой-либо вход имеет высокий уро­
нако существуют три ситуации, в кото­ вень. Такое объединение недопустимо при
рых вы должны использовать устройство использовании схем с активной нагрузкой
с открытым коллектором: управление вне­ из-за возникновения режима соперниче­
шними нагрузками, «проводное ИЛИ» и ства, если между всеми вентилями не бу­
внешние шины. Давайте рассмотрим их дет согласовано, каким должен быть вы­
внимательно. ходной сигнал. Объединять можно схемы
Управление внешней нагрузкой. Логика И Л И -H E, И -Н Е и т. п. Это соединение
с открытым коллектором является при­ также иногда называют «проводное И»,
годной для управления внешней нагруз­ поскольку высокий уровень на выходе
кой, которая подключается к источнику возникает лиш ь тогда, когда он действует
полож ительного н ап ряж ен и я, п ревы ­ на выходе каждого вентиля (состояние
шающего напряж ение п итани я И М С . разомкнутого, или открытого выхода. Оба
Может, в частности, потребоваться вклю­ этих названия описывают одну и ту же
чить маломощную 12-вольтовую лампоч­ схему, которая представляет собой про­
ку или сформировать логический перепад водное И при положительной логике и
15 В с помощью резистора, установлен­ проводное ИЛИ — при отрицательной.
ного между выходом вентиля и источни­ Это будет более понятно для вас, когда
ком + 15 В (рис. 8.22). Например, схема вы узнаете о теореме Моргана в следую­
’06 представляет собой шесть инверторов щем разделе.
с максимальным выходом +30 В, а схема Проводное ИЛИ пользовалось скоро­
КМОП 40107 представляет собой сдвоен­ течной популярностью в ранние дни циф­
ный И-НЕ-буфер с открытым коллекто­ ровой электроники, но и сегодня оно ис­
ром с выходным током до 120 мА. Серия пользуется д о во л ьн о редко за двум я
75450 «сдвоенных периферийных драйве­ исключениями: а) в логических семейст­
ров» может выдавать в нагрузку ток до вах, известных как ЭСЛ (эмитгерсвязанная
300 мА при напряжении питания + 30 В, логика, выходы у которой можно назвать
а серия U H P /U D N фирмы Sprague рас­ «открытый эмиттер»), элементы могут
ширяет эти пределы до 1 А и до 80 В. Бо­ безболезненно объединяться по провод­
лее подробно об этом в следующей главе. ному ИЛИ и б) существуют несколько
Проводное ИЛИ. Если вы объедините частных линий в компьютерных шинах
вместе несколько вентилей с открытым (наиболее значительная линия называет­
коллектором, как показано на рис. 8.23, ся прерывание), функциями которых яв­
то получите так называемую схему «про- ляются не передача информационных бит,
Цифровые схемы 515

а просто индикация того, что хотя бы одно Таблица 8.3. Логические тождества
устройство требует внимания. В этом ABC = (АВ)С = A(BQ
случае вы используете проводное ИЛИ, АВ = ВА
поскольку оно дает то, что вы хотите, и АА = А
не требуется дополнительной внеш ней А1 =А
АО = 0
логики для предотвращения споров. А (В + Q = АВ + АС
Внешние шины. В приложениях, где ско­ А + AR = А
рость не очень важна, вы иногда видите А + ВС = (А + В) (А + Q
драйверы с открытым коллектором, ис­ А + В + С = ( А + В) + С = А + ( В + С )
пользуемые для возбуждения шин. Наи­ А + В = В +А
А+А=А
более частый случай для шин —это выда­ А+ 1 = 1
ча данных из компьютеров. Общими при­ А+ 0= А
мерами являются ш ины, используемые 1' = 0
для связи компьютера с дисководом, и 0= 1
инструментальная шина IEEE-488 (также А + А' = 1
АЛ = 0
называемая “HPIB” или “G PIB ”). Под­ (А ' ) ' = А
робнее об этом в гл. 10 и 11. А + А' В = А + В
(А + В)' = А' В '
(АВ)’ = А' + В '
КОМБИНАЦИОННАЯ ЛОГИКА Пример: вентиль Исключающее ИЛИ.
На следующем примере проиллюстриру­
Как мы обсуждали ранее в разд. 8.04, ем использование логических тождеств:
цифровые логические схемы разделяются попробуем построить схему Исключающее
на комбинационные и последовательнос­ ИЛИ с помощью обычных вентилей. Таб­
тные (последовательные). Комбинацион­ лица истинности для Исключающего ИЛИ
ные схемы — это такие логические уст­ представлена на рис. 8.24. Изучив ее и
ройства, в которых состояние выхода за­ поняв, что 1 на выходе существует только
висит только от текущего состояния их тогда, когда (А, В) = (0,1) или (1,0), мы
выходов в некотором предопределенном можем написать
виде. Выходное состояние последова­ А ® В = АВ + АВ
тельностной схемы определяется как со­
стояние входов на данный момент, так и Соответствующая схемная реализация
ее предыдущим состоянием. Комбинаци­ представлена на рис. 8.25. Однако эта
онные схемы могут быть построены с при­
менением одних лишь вентилей, в то вре­ А В А® В
мя как последовательностные схемы тре­ 0 0 0
0 1 1
буют наличия памяти в какой-либо форме 1 0 1
(триггер). В последующих разделах мы 1 1 0
рассмотрим возможности комбинацион­
ной логики, после чего отправимся в бес­ Рис. 8.24. Таблица истинности вентиля Исключа­
покойны й мир последовательностны х ющее ИЛИ.
схем.

8.12. Логические тождества

Любое обсуждение комбинационной ло­


гики будет неполным, если мы не рас­
смотрим логические тождества, пред­
ставленные в табл. 8.3. Из этих соотно­
шений больш инство очевидны , а два
последних составляют теорему Моргана,
наиболее важную для построения схем. Рис. 8.25. Реализация вентиля Исключающее ИЛИ.
516 Глава 8

тодом является составление карты Кар­


но. Этот метод позволяет также найти логи­
ческое выражение (если оно заранее не­
лев известно) по таблице истинности. П ро­
иллю стрируем этот метод с помощ ью
примера. Предположим, что требуется
построить схему для мажоритарного под­
счета голосов при баллотировке. Будем
Рис. 8.26. Реализация вентиля Исключающее ИЛИ.
считать, что имеются три входа, работа­
реализация не является единственной. ющие в положительной логике (на любом
Используя логические тождества, мы на­ из них может быть 1 или 0) и выход (0
ходим, что или 1). Выход равен 1, если 1 присутствует
А Ф В = АА + АВ + ВА + ВВ не менее чем на двух входах.
Ш аг 1. Составим таблицу истинности
(АА = ВВ = 0)
А В С Q
= А(А + В) + В(А + В) ООО 0
0 0 1 0
= А(АВ) + В(ВА) 0 10 0
= (А + В)(АВ) 0 1 1 1
10 0 0
(Н а первом шаге мы прибавили две 10 1 1
величины, равные нулю, а на третьем 11 0 1
11 1 1
применили теорему Моргана). Схемная
реализация для этого случая показана на Здесь должны быть представлены все
рис. 8.26. Существуют и другие способы возможные сочетания и соответствующие
построения схемы Исключающее ИЛИ. им со сто ян и я выхода (или выходов).
Рассмотрим следующие упражнения: В том случае, когда состояние входа не
оказывает влияния на выход, ставится X
Упражнение 8.11. Покажите, что
(любое значение).
А © В = АВ + АВ_
Ш аг 2. С оставим карту К арно. Она
А ® В = (А + В)(А + В)
представляет собой нечто очень близкое
с помощью логических преобразований. В спра­ к таблице истинности, но содержит пере­
ведливости этих соотношений легко убедиться, про­
смотрев таблицу истинности.
менные, которые расположены по двум
Упражнение 8.12. Чему равны следующие соотно­ осям. Переменные должны быть распо­
шения: ложены таким образом, чтобы при пере­
а) 0- 1, б) 0 + 1, в) 1 • 1, г) 1 + 1, д) А (А + В), ходе от каждого квадрата к соседнему ме­
е) А (А1 + В), ж) А © А, з) А © А'? нялось бы состояние только одного входа
(рис. 8.27).
Ш аг 3. Отметим на карте группы, со­
8.13. Минимизация и карты Карно держащие 1 (можно также использовать
и группы, содержащие 0). Три овала на
Поскольку логическую функцию, даже
рис. 8.27 определяют логические выраже-
такую простую, как Исключающее ИЛИ,
можно реализовать различными способа­
АВ . п ___ Следите за
ми, часто бывает нужно найти для нее С \ 00 01 11 порядком
самое простое решение или, возможно,
, __ - АВ
наиболее удобное схемное решение. Над 0 0 0
этой проблемой бились многие светлые
умы, и в настоящее время существует не­ 1 0
J/
сколько способов ее разрешения, вклю­
/ & Г \
чая алгебраические методы, реализуемые
с помощью ЭВМ. При числе входов, не
вс АС
превышающем четырех, наилучшим ме­ Рис. 8.27. Карта Карно.
Цифровые схемы 517

т \АВ 00 01 11 10
( N
00 0 0 1 1

01 0 0 1 1

11 0 0 1
10 0 0
1° 0
5. Места, содержащие X (любое значе­
ние), представляют собой «карт-бланш».
Записывайте в них «нули» или «единицы»
ния АВ, АС и ВС. Далее получим требуе­ так, чтобы можно было получить простей­
мую функцию шую логику.
Q = АВ + АС + ВС, 6. Карта Карно может и не привести к
лучшему решению. Иногда более слож­
схемная реализация ее показана на рис. ное логическое выражение имеет более
8.28. Этот результат кажется очевидным, простую схемную реализацию, например,
когда он уже получен. Можно было бы в случае, когда некоторые члены выра­
составить выражение для нулей и вместо жения уже сформированы схемой в виде
этого получить логических сигналов, которые можно ис­
Q = A B + А С ' + ВС'. пользовать в качестве входных. Кроме
того, реализации Исключающего ИЛИ не
Это выражение может оказаться полез­ очевидны из карты Карно. Наконец, при
ным для случая, когда в каких-либо точ­ выборе логической структуры схемы оп­
ках схемы имеются дополнения А', В ' ределенную роль играют ограничения,
и С'. связанные с конструкцией ИМ С (напри­
Некоторые комментарии к картам Карно. мер, когда в одном корпусе содержатся
1. Ищите группы, содержащие 2, 4, 8 четыре 2-входовых вентиля). Когда ис­
и т.д. квадратов. Они имеют простые пользуются такие программируемые ло­
логические выражения. гические устройства, как ПМ Л для кон­
2. Логика будет тем проще, чем круп­ струирования логических функций, внут­
нее блок вы опишете. р ен н я я структура (програм м ируем ы е
3. Состыкуйте края карты Карно. Н а­ вентили И и ф иксированны е вентили
пример, карта на рис. 8.29 описывается ИЛИ) сдерживает реализацию, которая
выражением Q = В С. могла бы быть применена.
4. Блок «единиц», содержащий один
или два «нуля», лучше всего описывается Упражнение 8.13. Нарисуйте карту Карно для ло­
гики, которая позволит определить, является ли 3-
с помощью группировки, показанной на разрядное двоичное число «главным», считая при
рис. 8.30. Этому блоку соответствует ло­ этом, что главными не являются числа 0, 1 и 2.
гическое выражение Q = A {BCD)'. Дайте схемную реализацию на 2-входовых вентилях.
Упражнение 8.14. Найдите логическое выражение,
с помощью которого можно было бы умножить два
2-разрядных двоичных числа и получить 4-разряд-
ный результат. Рекомендации: для каждого выход­
ного бита пользуйтесь отдельными картами Карно.

8.14. Комбинационные
функциональные схемы,
реализованные на стандартных ИМС

С помощью карт Карно можно построить


логику, чтобы вы п олн ять достаточно
518 Глава 8

74LS157 но, а сейчас приведем лиш ь таблицу


_ истинности, в которой X означает, что
А,
— в, о.
-- состояние данного входа не имеет значе­
ния, В - высокий уровень, Н — низкий
А уровень.
2 Q ----
_ В2
Входы Выходы
А Е' SEL Ап Вл 0,
J Q,
— Н X X X L
_ А
L L L X L
4 Q L L Н X Н
— в, L Н X L L
Е SEI L Н X Н Н

Т Схема на рис. 8.31 и ее таблица истин­


ности соответствуют схеме '157. Та же
Рис. 8.31. Счетверенный 2-входовый селектор. самая функция реализуется также с ин­
версным выходом ('158) и с выходом на
сложные функции, такие, как, например, 3 состояния (прямые выходы; '257; ин­
двоичное сложение и сравнение величин, версные: '258).
контроль по паритету, мультиплексирова­
ние (выбор одного из нескольких входов, Упражнение 8.15. Покажите, как с помощью вен­
тилей И-ИЛИ-НЕ построить 2-входовую схему вы­
который определяется двоичным адресом) борки.
и т. п. В реальности сложные функции,
которые используются наиболее часто, Хотя в некоторых случаях функцию вы­
реализуются в виде функциональных ИМС борки можно реализовать с помощью ме­
средней степени интеграции (до 100 вен­ ханического переключателя, тем не ме­
тилей в корпусе). Хотя в состав многих нее по ряду причин предпочтительнее ис­
из этих СИС входят триггеры, которые мы пользовать вентили. Вентильная схема
скоро будем рассматривать, большинство обладает следующими преимуществами:
из них выполняют чисто комбинационные а) она дешевле; б) коммутация всех ка­
функции и состоят целиком из одних вен­ налов производится быстро и одновре­
тилей. Давайте посмотрим, «какие звери менно; в) с помощью логических сигна­
населяют зоопарк, именуемый «комбина­ лов, сформированных в устройстве, мож­
ционные интегральные схемы средней но производить переключение практичес­
степени интеграции». ки мгновенно; г) даже тогда, когда уп­
Счетверенная 2-входовая схема выбор­ равление выборкой осущ ествляется от
ки. Весьма полезным устройством явля­ переключателя, расположенного на пере­
ется счетверенная 2-входовая схема вы­ дней панели устройства, для того чтобы
борки. Она фактически представляет со­ избежать воздействия помехи и снижений
бой 4 -п о л ю с н ы й д в у х п о зи ц и о н н ы й уровней за счет вияния емкостей, логи­
переключатель логических сигналов. Ос­ ческие сигналы лучше не пропускать че­
новная идея такого переключателя иллю­ рез кабели и переключатели. Так как изби­
стрируется рис. 8.31. Когда вход ВЫБОР раемый вентиль отпирается уровнем по­
(SELECT-SEL на рисунке) имеет низкий стоянного напряжения, логические сиг­
уровень, сигналы на выходах Q поступа­ налы управления могут быть взяты с той
ют с соответствующих входов А, при вы­ же платы, на которой он расположен. Это
соком уровне на входе ВЫБОР — со вхо­ позволяет сократить внешние связи (дос­
дов В. Когда высокий уровень действует таточно одной линии с нагрузкой, ком­
на входе РАЗРЕШ ЕНИЕ (ENABLE-E на мутируемой на землю с помощью одно­
рисунке), все выходы устройства прину­ п о л ю сн о го тум б л ера). Т ак о й сп особ
дительно устанавливаются в состояние управлен ия л оги ч еской схемой с п о ­
низкого уровня. Несколько позже мы рас­ мощью внешних уровней постоянного на­
смотрим эту важную идею более подроб­ пряжения называют «холодной коммута-
Цифровые схемы 519

цией». Он оказывается более предпочти­


тельным, чем непосредственное управле­
ние сигналами от ключей, потенциометров
и т. п. Кроме прочих преимуществ хо­
лодная коммутация позволяет вести уп­
равляющие линии, шунтированные кон­
денсаторами, подавляя тем самым взаим­
ные наводки, в то время как сигнальные
линии в общем случае шунтировать кон­
денсаторами нельзя. Некоторые приме­
ры холодной коммутации нам еще встре­
тятся в дальнейшем.
Передающие вентили. Как уже указы­
валось в разд. 3.11 и 3.12, с помощью
Рис. 8.33. 8-входовый мультиплексор.
элем ен тов К М О П м ож но п острои ть
«передающий вентиль». Это —два парал­
уровень, не обеспечивая дополнительную
лельно вклю ченных комплементарных
нагрузочную сп особ н ость без д о п о л ­
ключа на полевых М ОП-транзисторах,
нительной возможности усиления.
через которые входной (аналоговый) сиг­
С п ом ощ ью п еред аю щ их вен ти л ей
нал, лежащий в пределах от 0 до Ucc,
можно построить схемы выборки на 2 и
может либо непосредственно подаваться
более входов для ц иф ровы х уровней
на выход через низкое сопротивление (не­
КМ ОП и аналоговых сигналов. Связку пе­
сколько сотен омов), либо отрываться
редающих вентилей можно использовать
(выходное сопротивление фактически рав­
для того, чтобы производить выбор од­
но бесконечности). К ак вы, наверное,
ного из нескольких входов (вырабатывая
помните, такие устройства являю тся
управляю щ ие сигналы с помощ ью де­
двунаправленными и для них не имеет
ш ифратора, как будет показано ниже).
значения, какой из выходов использует­
Эта логическая функция настолько широ­
ся в качестве входа, а какой в качестве
ко используется, что получила официаль­
выхода. Передающие вентили прекрасно
ное название «мультиплексора», который
работают с цифровыми уровнями КМ ОП
будет рассмотрен в следующем разделе.
и широко применяются в КМОП-схемах.
На рис. 8.32 показана структурная схема Упражнение 8.16. Покажите, как с помощью пе­
счетверенного двухстороннего КМ О П - редающих вентилей построить схему выборки на два
входа. Здесь нужно использовать инвертор.
ключа типа 4066. Каждый ключ имеет ин­
дивидуальный управляющий вход, высо­ Мультиплексоры. Вентиль выборки на
кий уровень на котором замыкает ключ, два входа известен также под названием
а низкий - размыкает. Отметим, что пере­ 2-входового мультиплексора. П ромы ш ­
дающие вентили являются просто клю­ ленностью выпускаются также мульти­
чами, и поэтому не обладают способнос­ плексоры на 4, 8 и 16 входов (устройства
тью к разветвлению по выходу, т. е. они на 4 входа выпускаются сдвоенными, т. е.
просто пропускают входной логический по 2 в одном корпусе). Двоичный адрес
служит для выбора входа, сигнал с кото­
рого должен поступать на выход. Напри­
мер, мультиплексор, имеющий 8 инфор­
мационных входов, использует для адре­
сации к ним 3-разрядный адресный вход.
Это показано на рис. 8.33, где представ­
лен цифровой мультиплексор типа '151.
Он имеет стробирующий (или разрешаю­
щий) вход Е, работающий в отрицатель­
ной логике, а также прямой и инверсный
520 Глава 8

выходы. Если устройство закрыто (на соре. Этот вопрос относится к общей за­
входе Е действует вы сокий уровень), даче расширения микросхем, которое зак­
выход Q будет иметь низкий уровень, лю чается в использовании нескольких
a Q ' — высокий независимо от состояния микросхем с небольшими индивидуаль­
адресных и информационных входов. ными возможностями и применяется для
В семействе КМ ОП имеются два типа построения дешифраторов, памяти, реги­
мультиплексоров. Первый применяется стров сдвига, арифметически-логических
только для работы с цифровыми сигна­ и других устройств. К ак видно из рис.
лами, имеет входной порог и регенериру­ 8.34, расширение выполняется очень про­
ет на выходе «чистые» уровни, которые сто. Здесь показано, как, имея два мульти­
соответствуют входному состоянию. Та­ плексора на 8 входов 74LS51, построить
ким же образом работают все функцио­ мультиплексор на 16 входов. Конечно, в
нальны е элементы ТТЛ. П рим ером схемах имеется дополнительный адресный
является микросхема '153-ТТЛ-мульти- бит, который вы используете для выбора
плексор. К другому типу устройств отно­ одного устройства или другого. На не-
сятся аналоговые и двунаправленны е выбранном мультиплексоре '151 выход Q
КМ ОП мультиплексоры, которые факти­ поддерживается на низком уровне, что
чески представляют собой набор переда­ позволяет произвести объединение через
ющих вентилей, КМОП-мультиплексоры вентиль ИЛИ. Если выходы имеют три
4051 и 4053 работают таким образом (по­ состояния, то расширение производится
мните, что логика, выполненная из пере­ еще проще: для этого достаточно непос­
дающих вентилей, не может разветвлять­ редственно объединить выходы.
ся). Так как передающие вентили являют­ Демультиплексоры и дешифраторы.
ся двунаправленными, эти мультиплек­ Входной сигнал принимается демульти­
соры могут использоваться в качестве «де­ плексором и направляется им на один из
мультиплексоров или дешифраторов», ко­ нескольких выходов в соответствии с
торые мы рассмотрим в следующем разделе. двоичным кодом, действующим на адрес­
Упражнение 8.17. Покажите, как построить муль­
ных входах. Остальные выходы в этом
типлексор на 4 входа, используя: а) обычные вен­ случае находятся либо в неактивном сос­
тили; б) вентили с тремя состояниями и в) переда­ тоянии, либо в состоянии разомкнутой
ющие вентили. При каких обстоятельствах будет цепи. Аналогично работает и деш ифра­
предпочтительным вариант в)? тор. Единственное отличие состоит в том,
И ногда при разработке л огически х что н а входы подается только адрес,
устройств может оказаться, что потребу­ возбуждающий один из п возможных выхо­
ется производить набор из больш его дов. На рис. 8.35 показан такой пример.
числа входов, чем имеются в мультиплек­ Дешифратор '138-"1 из 8” имеет низкий

- о ..

Рис. 8.34. Наращивание мультиплексора.


Цифровые схемы 521

Рис. 8.35. Дешифратор «1 из 8».

уровень на выходе, который соответству­


ет входному 3-разрядному коду (адресу),
а на остальных выходах - высокий уро­
вень. В частности этот дешифратор име­
ет три входа разрешение, все из которых
должны быть активны (два — низкого и
один — высокого уровня), иначе все вы­
ходы имеют высокий уровень. Основное
применение дешифратора —заставить про­
исходить различные события, которые за­ Рис. 8.36. Наращивание дешифратора.
висят от состояния «счетчика», который
управляет этим (скоро об этом тоже по­ В КМ ОП-логике мультиплексоры, ко­
говорим подробно). торые используют передающие вентили,
Дешифраторы обычно используются при также являются демультиплексорами, по­
сопряжении с микропроцессором, когда скольку передающие вентили являются
необходимо выполнить различные дей­ двунаправленными. Когда они использу­
ствия в зависимости от адреса. Мы будем ются таким образом, важно сознавать, что
рассматривать это детально в гл. 10. выходы, которые не выбраны, отключены.
Другим применением общего использо­ Нагрузочный резистор или эквивалентный
вания дешифратора является организация ему должны быть использованы для обес­
(разрешение) последовательности дей ­ печения правильного функционирования
ствий, согласно достигнутого адреса, за­ логики с такими выходами (те же самые
данного выходом двоичного счетчика требования, что и с TTJl-вентилями с от­
(разд. 8.25). В заклю чение, о «брате» крытым коллектором).
схемы '138 - схеме '139, которая представ­ Существует другой тип дешифраторов,
ляет сдвоенный дешифратор "1 из 4" с который обычно входит в состав всех
раздельным одиночным разрешением по логических семейств. Примером такого
низкому уровню. На рис. 8.36 показано, д еш и ф ратора служит преобразователь
как использовать два дешифратора '1 из 8' двоично-десятичного кода в семисегмен­
типа '138 для получения деш ифратора тный с формирователями для управления
” 1 из 16". Как видно из рисунка, при этом цифровым индикатором '47. Эта схема
не требуются внешние элементы, посколь­ в соответствии с двоично-десятичны м
ку схема '138 имеет входы разреш ения кодом, действующим на входе, форми­
обеих полярностей (низкого и высокого рует сигналы на всех выходных линиях,
уровней). связанных со входами семисегментного
цифрового индикатора, воспроизводя­
Упражнение 8.18. Большое расширение: построй­
те дешифратор "1 из 64" на девяти устройствах '138. щего десятичны й символ. У стройство
Подсказка: используйте один из них для разреше­ такого типа фактически является преоб­
ния подключения других. разователем кодов, но в обычной прак-
522 Глава 8

тике используется название дешифратор. А (8 бит) (Входы) В (8 бит)


В табл. 8.6 в конце главы представлено
большинство применяемых дешифраторов.
Упражнение 8.19. Используя вентили, постройте
преобразователи двоично-десятичого кода в десятич­
ный ("1 из 10").
Приоритетный шифратор. Приоритет­
ный шифратор формирует на выходе дво­
ичный код, соответствующий входу с наи­
высшим номером (приоритетом), который
возбуждается.
Наиболее часто эта схема используется
в аналогоцифровых преобразователях с
параллельным преобразованием (см. сле­
дующую главу) и в проектировании мик­
ропроцессорных ситем. 9-разрядная сумма (выход)
Примерами устройств такого типа яв­
ляются схема '148 - 8-входовый (3 выход­ Рис. 8.38. Наращивание сумматора.
ных разряда) приоритетный шифратор и
схема '147 - 10-входовый.
Часто в качестве сумматоров использу­
Упражнение 8.20. Спроектируйте простейший де­ ются арифметико-логические устройства
шифратор, у которого 2-разрядный адрес на выходе
будет указывать, какой из четырех входов имеет вы­
(АЛУ). Эти устройства фактически пред­
сокий уровень (все остальные входы должны иметь назначены для выполнения целого ряда
низкий уровень). различных функций. В частности, 4-раз-
рядная АЛУ '181 (с возможностью расши­
Сумматоры и другие арифметические ус­ рения для обработки слов большей дли­
тройства. На рис. 8.37 изображен 4-раз- ны) может выполнять сложение, вычита­
рядный полный сумматор. Он прибавля­ ние, сдвиг двоичных разрядов, сравнение
ет 4-разрядное двоичное число Ахк 4-раз- величин и некоторые другие функции.
рядному числу Я и вырабатывает на выходе Время выполнения арифметических опе­
4-разрядную сумму S{ плюс разряд пере­ раций в сумматорах и АЛУ находится в
носа /7вь|х. Для суммирования больших пределах от наносекунд до десятков на­
величин сумматоры можно наращивать. носекунд в зависимости от типа логичес­
Для этой цели предусмотрен вход Л вк, кого семейства.
на который поступает выходной сигнал Итегральные умножители выпускаются
переноса от предыдущего (младшего) сум­ в ко н ф и гу р ац и я х 8 б и т х 8 б и т или
матора. На рис. 8.38 показано, как стро­ 16 бит х 16 бит. Разновидностью умно­
ится схема для суммирования двух 8-раз- жителей, которые в основном использу­
рядных двоичных чисел. ются для цифровой обработки сигналов,
являются так называемые умножители-на-
ВходА Вход В
копители, которые накапливают сумму
'\ 1 1 1' '\ 1 1 Г
произведений. Они также выполняются
\ *г *з \ вг вг в
3
В
4
в размерах 32 х 32 с 64-битовым произве­
Вход —
дением плюс несколько дополнительных
П '8 3 П __ Выход
переноса переноса бит для сохранения суммы от переполне­
5г 53 5< ния. Умножители-накопители и умножи­
тели выпускаются с временем 2 5-50 не;
---- 1----- у ЭСЛ-умножителей время меньше —5 не
Выход 5
(тип.) для умножителей 16 х 16.
Другим арифметическим устройством,
Рис. 8.37. 4-разрядный полный сумматор. которое используется в цифровой обра­
Цифровые схемы 523

ботке сигналов, является коррелятор, ко­ результатов сравнения: А < В, А = В и


торый сравнивает соответствующие биты А > В. Входы допускают наращивание для
двух цепочек битов, вычисляя число со­ обработки чисел длиной более 4 бит.
впавших битов. Типовой интегральный
Упражнение 8.21. Сконструируйте компаратор,
коррелятор сравнивает два 64-разрядных, используя вентили «Исключающее ИЛИ», который
которые могут сдвигаться во внутренних будет сравнивать 4-разрядные числа А и В и опреде­
регистрах сдвига. Какой-либо набор бит лять, когда А = В.
может игнорироваться («маскироваться») Схема формирования и контроля бита
в корреляции. Типовые времена состав­ паритета. Это устройство предназначено
ляют 30 не, т. е. лента бит может такти­ для выработки паритетного бита, кото­
роваться с частотой 35 МГц, с разреше­ ры й добавляется к инф орм ационном у
нием 7 бит в корреляции для каждого «слову» при передаче (или записи) дан­
такта. Вычисляется отклонение (как в ных, а также для проверки правильности
цифровом фильтре с КИХ) вместо суммы паритета при восстановлении этих дан­
(с переносом) попарносвязанных произве­ ных. Паритет может быть четным или
дений двух цепочек целых чисел. Типич­ нечетным (при нечетном паритете для
ные размеры - целые числа от 4 до 10 бит каждого символа общее число битов (раз­
при длине от 3 до 8 слов (конечно, име­ рядов), содержащих 1, нечетно). Напри­
ющие возможность расширения). мер, формирователь паритета 1280 при­
Наиболее сложными арифметическими нимает 9-разрядное слово и в соответствии
кристаллами являются процессоры с пла­ с состоянием управляющего входа выра­
вающей запятой, которые осуществляют батывает на выходе четный или нечетный
сравнение, суммирование, умножение, бит. Конструктивно схема представляет
вычисление тригонометрических функ­ собой набор вентилей «Исклю чаю щ ее
ций, экспонент и корней. Обычно они ИЛИ».
используются совместно с определенны­
ми микропроцессорами и приспособлены Упражнение 8.22. Подумайте, как сделать фор­
для работы в стандарте, известном как мирователь паритета, используя вентили «Исключа­
ющее ИЛИ».
IEED754, который определяет размеры слов
(до 80 бит), формат и т. д. Примерами Программируемые логические устройства.
таких устройств (плюс основные микро­ Вы можете строить ваши собственные ком­
процессоры) являются схемы 8087 (80848), бинационные (и даже последовательные)
80287 (80286), 80387 (80386) и 68881 логические схемы на кристалле, исполь­
(68020/30). Они имеют действительно зуя ИС, которые содержат массив венти­
ошеломляющую производительность в 10 лей с программируемыми перемычками.
Мегафлопс (миллион операций с плава­ Существуют несколько вариантов таких
ющей запятой в секунду) или более. устройств, из которых наиболее попу­
Компараторы. На рис. 8.39 показан лярными являются ПМЛ (программируемая
4-разрядный компаратор чисел, который матричная логика - PAL) и ПЛМ (програм­
определяет относительные значения чисел мируемая логическая матрица — PLA).
А и В и вырабатывает на выходе сигналы ПМЛ, в частности, стали крайне недороги­
ми и гибкими устройствами, которые долж­
ВходА Вход В
ны войти как часть инструмента каждого раз­
работчика. Мы будем описывать комбина­
/ \
'1 Г 1 i 1 1 1 1 ционные ПМЛ в следующем разделе.
\ ----------- Лз Во----------- 8 3 Некоторые другие незнакомые функции.
А<В А< В Существует много других комбинацион­
ВХОД ДЛЯ
А=В '85 А= В -выходы
ных схем средней степени интеграции,
наращивания
А> В А> В представляющих несомненный интерес.
Например, в семействе КМ ОП есть схе­
ма - «мажоритарная логика», которая
Рис. 8.39. Компаратор. говорит, что возбуждена большая часть
524 Глава 8

входов. И меется также д вои ч н о-деся­ МЗР


тичное устройство дополнения до 9, на­ с 0 — 31 день"
значение которого не требует пояснений. г —
в 4051
Существует схема «барабан —сдвигатель»,
которая сдвигает входное число на п (за­ А „
Т D0 ■ D7
даваемое) разрядов и может наращивать­
ся до любой длины.
О
X К
СЗР
ш
8.15. Реализация произвольных -о -
таблиц истины
Рис. 8.41.
К счастью, большинство из проектов циф­
ровых схем не состоит из стряпни безум­
ных устройств на вентилях для реализации плексор на п входов с помощью только
одного инвертора может быть использо­
сложных логических функций. Однако
временами, когда вам нужно связать не­ ван для генерации таблицы истинности на
сколько сложных таблиц истинности, чис­ 2п входов. Например, рис. 8.41 показы­
ло вентилей может стать слишком боль­ вает схему, которая определяет — имеет
или нет данный месяц года 31 день, где
шим. Возникает вопрос, нельзя ли найти
месяц (от 1 до 12) задается 4-битовым
какой-то другой путь. Таких путей суще­
входом. Хитрость в том, чтобы заметить,
ствует несколько. В этом разделе мы
что для данного состояния адресных би­
кратко рассмотрим, как использовать
мультиплексоры и демультиплексоры для тов, прикладываемых к мультиплексору,
выход (как функция оставшегося входно­
реализации произвольных таблиц истин­
ности. Затем мы обсудим в общем более го бита) должен быть равен Н, L, А0 или
/4'0; соответственно вход мультиплексора
мощные методы, использующие програм­
связывается с логическим высоким, ло­
мируемые логические кристаллы, в част­
гическим низким, А или А'р
ности ПЗУ и ПЛМ.
Мультиплексоры в качестве реализаций Упражнение 8.23. Схема на рис. 8.41. Составьте
обобщенных таблиц истинности. Нетрудно таблицу, показывающую, имеет ли данный месяц 31
видеть, что и л-входовый мультиплексор день, с двоичной адресацией месяца. Сгруппируйте
месяцы в пары согласно старшим значащим 3-м
может быть использован для генерации битам адреса. Для каждой пары обозначение выхо­
любой таблицы истинности на п входов да Q («31 день») зависит от младшего значащего
без применения каких-либо внешних ком­ адресного бита Ап. Сравните с рис. 8.41. Наконец,
понентов, если просто на их входы подать напрягитесь и проверьте, что схема делает на самом
деле, если заданный месяц имеет 31 день.
соответствующие высокие и низкие уров­
ни. Схема на рис. 8.40 говорит, является Забавное примечание: оказывается, что
ли входное 3-разрядное двоичное число данную таблицу истинности можно реа­
простым. Не столь очевидно, что мульти- лизовать только с одним вентилем «Ис­
ключающее ИЛИ», если использовать для
несуществующих месяцев знак X (любое
МЗР А Q значение)! Попытайтесь сделать это само­
4051 стоятельно. Это даст вам возможность при­
В обрести опыт в составлении карт Карно.
СЗР С Дешифраторы как обобщенные таблицы
О с- — D, истинности. Дешифраторы также позво­
ляют упростить комбинационную логику,
особенно в тех случаях, когда нужно по­
лучить несколько одновременно действу­
ющих выходных сигналов. В качестве
примера попробуем составить схему пре­
Рис. 8.40. образования двоично-десятичного кода в
Цифровые схемы 525

код с избытком 3. Таблица истинности Входная информация


(двоично-десятичны й код)
для такого преобразования имеет вид:
Десятичный Двоично­ С избытком 3
десятичный
0 0000 0011
1 0001 0100
2 0010 0101
3 0011 0110
4 0100 0111
5 0101 1000
6 0110 1001
7 0111 1010
8 1000 1011
9 1001 1100

Мы здесь используем 4-разрядный (в


двоично-десятичном коде) вход как ад­
рес для дешифратора, а выходы дешиф­
ратора (в отрицательной логике) служат
в качестве входов для нескольких венти­
лей ИЛИ, формирующих выходные биты,
как показано на рис. 8.42. Заметим, что
в этой схеме выходные биты не являются
взаимно исключающими. Аналогичную
схему можно использовать в качестве уст­
ройства для задания рабочих циклов в сти­
ральной машине: при каждом состоянии
входа выполняются различные функции
(подача воды, заполнение, вращение ба­
рабана и т. д.). Вскоре вы увидите, каким
образом вырабатывается последователь­ Рис. 8.42. Преобразование кодов на уровне мин-
ность двоичных кодов, следующих через термов: преобразование двоично-десятичного кода
равные промежутки времени. Индивиду­ в код с избытком 3 (устаревший код, сохранивший­
альные выходы дешифратора носят назва­ ся с 1-го издания книги)
ние «минтермы» и соответствуют позици­
ям на карте Карно. х 8 ПЗУ выдает восемь выходных бит на
ПЗУ и программируемая логика. Эти каждое из 1024 входных состояний, опре­
ИС позволяют вам программировать их деляемых 10-разрядным входным адресом
внутренние связи. В этом смысле они (рис. 8.43). Любая комбинационная таб­
ф актически являю тся устройствам и с лица истинности может быть запрограм­
памятью и будут рассматриваться позднее, мирована в ПЗУ, обеспечивающем доста­
вместе с тригерами, регистрами и т. п. точное число входных линий (адреса).
Однако после программирования они яв­ Например, ПЗУ 1 К х 8 можно использо­
ляются строго комбинационными, хотя вать для реализации умножителя 4 x 4 ;
существуют также последовательностные в этом случае ограничение на «ширину»
программируемые логические устройства (8 разрядов) не действует (так как имеет­
(см. разд. 8.27), они так полезны, что ся 10 разрядов).
будут обсуждаться сейчас. ПЗУ (а также программируемые логи­
ПЗУ. ПЗУ (постоянное запоминающее ческие устройства) являются энергонеза­
устройство) содерж ит битовы й образ висимым устройством, т. е. хранимая ин­
(обычно 4 или 8 разрядов, параллельный формация остается даже тогда, когда пи­
выход) для каждого конкретного адреса, тание пропадает. ПЗУ подразделяются на
приложенного ко входу. Например, 1 К х несколько типов, в зависимости от их
526 Глава 8

8-разрядные выходные данные держит идентичный кристалл, но не име­


(3 состояния)
ет кварцевого окна для экономии и про­
стоты. г) «Электрические стираемые про­
граммируемые ПЗУ» (ЭСП ПЗУ) подоб­
ны С П П З, но могут программироваться
и стираться электрически прямо в схеме
с использованием стандартных н ап ря­
жений питания ( + 5 В).
ПЗУ находят широкое использование
в компьютерах и микропроцессорах, где
он и и сп о л ьзу ю тся для со х р ан ен и я
законченных программ и таблиц данных;
мы увидим их снова в гл. 11. Однако вы
всегда долж ны пом нить о небольш их
ПЗУ, как о замене сложных вентильных
матриц.
Программируемая логика. ПМЛ (п ро­
граммируемая матричная логика; PAL —
товарный знак фирмы Monolitic Memories
Inc.) и ПЛМ (программируемые логичес­
СЕ — разрешение работы сигнала кие матрицы) являются двумя основны­
ОЕ — разрешение 3-го состояния
Рис. 8.43. ми видами программируемой логики. Они
являются ИС со многими вентилями, свя­
метода программирования: а) «Масочно­ зи между которыми могут программиро­
программируемые ПЗУ» имеют свое би­ ваться (подобно ПЗУ) для формирования
товое содержание, созданное во время желательных логических функций. Они
и зготовления, б) «П рограм м ируем ы е выполняются как в биполярном, так и в
ПЗУ» (ППЗУ) программируются пользо­ К М О П -вариантах, первые используют
вателем: ПЗУ имеют тонкие перемычки, прожигаемые перемычки (однократно­
которые могут пережигаться (подобно программируемые), вторые — плавающие
предохранителям) посредством подачи вентильные КМ ОП схемы (ультрафиоле­
адреса и управляющих сигналов; они об­ тового или электрического стирания). Вы
ладают высоким быстродействием (25— не можете запрограм м ировать любую
50 не), относительно большим потребле­ связь, какую желаете - вы будете ограни­
нием (биполярные 0,5—1 Вт), размерами чены встроенной структурой. Рис. 8.44
от малых до средних (от 32 х 8 до 8 К х показывает основные схемы комбинаци­
х 8). «Стираемые программируемые ПЗУ» онных (не регистровых) ПЛМ и ПМЛ.
(СППЗУ) хранят свои биты как заряды Для простоты на этом рисунке вентили И
на плавающих МОП-вентилях; информа­ или ИЛИ нарисованы с одним входом,
ция в них может стираться посредством хотя в действительности они являются
облучения их интенсивным ультрафиоле­ многовходовыми вентилями с входом для
товым светом в течение нескольких ми­ каждого перекрестия.
нут (они имеют прозрачное кварцевое Каждый выход (с 3 состояниями) ком­
стекл о ); вы п о л н яю тся по л -М О П и бинационной ПМЛ выводится от вентиля
КМОП-технологии и значительно медлен­ ИЛИ, а каждый вход подсоединяется к
нее (200 не) при низком потреблении (ча­ вентилю И с дюжинами входов. Напри­
стично в режиме хранения), имеют дос­ мер, 16L8 (рис. 8.45) имеет восемь 7-вхо-
таточно большой размер (8 К х 8 и 128 К х довых вентилей ИЛИ; каждый возмож­
х 8). Современные КМ ОП С ППЗУ до­ ный сигнал достижим для каждого вен­
стигаю т бы стродействия б и п олярн ы х тиля И, включая 10 входных контактов
ПЗУ (35 не). Известен вариант — «одно- (и инверторы для них) и 8-выходных кон­
кратно-программируемый» (ОКП), он со­ тактов (и инверторы к ним). Разрешение
Цифровые схемы 527

Фиксиров.
матрица ИЛИ

LJ 1
LJ *
LJ
LJ
i“ *\
L J
Г">
1__ г
1—4
L J
1--- ч
L J

Программир. матрица И h

Обозначение
YYYY
программир.
+

мл
соединение
ф иксиров.
соединение

Программир.

Сокращ. обозначение

1“ \
L J
1--- ч
L J

1__ )
г-\
А А А
LJ
L J

1— \
L J
I— \
L J

WW
Программир. матрица И

Реальная логика

Рис. 8.44. Программируемая логика: а -П Л М ; б -П М Л ; в - фрагмент программируемых соединений с много­


входовым вентилем И; кружками обозначены плавкие перемычки или другие программируемые соединения.

третьего состояния также устанавливается устройствами (т. е. выполнены только на


от 32-входового вентиля И. вентилях, без памяти). Другой разновид­
ПЛМ подобна ПМЛ, но обладает боль­ ностью программируемой логики являет­
шей гибкостью —выходы вентилей И мо­ ся последовательностная логика, т. е.
гут связы ваться со входами вентилей имеющая память (регистры), подробно
ИЛИ в любой комбинации (т. е. програм­ мы рассмотрим их в следующем разделе.
мироваться), что предпочтительней, чем Для использования ПМЛ и ПЛМ вы дол­
жесткое присоединение, как в ПМЛ. жны иметь программатор как часть аппа­
Заметим, что ПМЛ и ПЛМ, которые мы ратного обеспечения, который знает, как
описывали, являются комбинационными прожигать перемычки (или другие типы
528 Глава 8

i
Цифровые схемы 529

А В рованными функциями и не должны вы­


падать из виду у серьезного проектиров­
щ ика схем. Мы покаж ем, как (и где)
прим енять программируемую логику с
использованием полезных хитростей в
разд. 8.27.

ПОСЛЕДОВАТЕЛЬНОСТНАЯ ЛОГИКА

8.16. Устройства с памятью: триггеры

программируемых средств) и проверить Вся рассмотренная выше цифровая логи­


окончательный результат. Все програм­ ка строилась на комбинационных схемах
маторы имеют связь через последователь­ (т. е. наборах вентилей), в которых выход
ный порт с микрокомпьютером (стандар­ полностью определяется текущим состоя­
тное средство связи на IBM PC или со­ нием входов. В этих схемах отсутствует
вм ести м о й с н е й ), н а к о т о р о м вы «память», отсутствует предыстория. Жизнь
работаете с программным обеспечением цифровой логики станет более интересной,
программатора. Некоторые из современ­ если устройства снабдить памятью. Это
ных программаторов вклю чаю т од н о­ дает возможность конструировать счетчи­
платный компью тер, который работа­ ки, арифметические регистры и различные
ет с собственным программным обеспе­ «умные» схемы, которые, выполнив одну
чением. интересную функцию, начинают делать
Простейшее программное обеспечение другую. Основным узлом таких схем яв­
просто позволяет вам выбрать перемычки ляется триггер, колоритное имя для опи­
для прожигания; вы изображаете это в сания устройств, которые в простейшей
зависимости от того, какую логику вы форме представлены на рис. 8.47.
хотите получить на уровне вентилей, за­ Предположим, что оба входа А и В име­
тем перечисляете (или помечаете на гра­ ют высокий уровень. Тогда в каком со­
фическом дисплее) эти перемычки. Рис. стоянии будут выходы X и К? Если X бу­
8.46 показывает простой пример для фун­ дет иметь высокий уровень, то последний
кции Исключающее ИЛИ на два входа на будет присутствовать на обоих входах
одном из выходов ПМЛ. Хорошие про­ вентиля С2, и устанавливать Y в состояние
грамматоры позволяют вам задавать бук­ низкого уровня. Это согласуется с состоя­
вы выражения (если они вам известны) нием выхода X (высокий уровень), следо­
или таблицы истинности; программное вательно, все правильно. Не правда ли?
обеспечение затем делает остальн ое,
X = В, У = Н.
включая минимизацию, моделирование и
программирование. Неверно! Схема симметрична, следова­
Хотя ПЛМ более гибкие, фаворитом в тельно, правомерно будет и состояние,
современном проектировании являются
ПМЛ. Это из-за того, что они быстрее
(так как сигнал проходит только через
один массив перемычек), дешевле и обыч­
но удовлетворяют задаче. Как мы увидим
позже, новые ПМЛ, использующие «мак­
роячейки» и «складную архитектуру» дают
вам некоторую дополнительную гибкость
в проектировании на ПМЛ с фиксиро­
ванными ИЛИ-вентилями. Таким обра­
зом, ПМЛ представляют собой гибкую
и компактную альтернативу ИС с фикси­ Рис. 8.47. RS-триггер.
530 Глава 8

при котором
X = Н, Y = В.
л п г_
Состояние, когда оба выхода Х и Y имеют
высокий (или низкий) уровень, невозмож­
но (вспомним, что А = В — высокий уро­
вень). Таким образом, триггер имеет два
устойчивых состояния (иногда его назы­
вают «бистабильной» схемой). В каком
из этих двух состояний он окажется, за­
висит от его предыстории, т. е. он обла­
дает памятью. Для того чтобы в эту па­
мять что-то записать, достаточно на один
из входов триггера кратковременно подать
низкий уровень. Например, после кратко­
временной подачи низкого уровня на вход
А триггер гарантированно установится в
состояние
X = В, Y = Н Рис. 8.49. Схема подавления дребезга.
независимо от того, какое состояние он других органов управления. При исполь­
имел прежде. зовании такой схемы возникает пробле­
Подавление дребезга контактов. Рас­ ма, обусловленная «дребезгом» контактов
смотренный нами триггер со входами S ключа. За время порядка 1 мс после за­
(установки в «1») и Л (установки в «0» или мыкания ключа его контакты входят в
сброса) оказывается весьма полезным для соприкосновение друг с другом обычно
многих применений. На рис. 8.48 пока­ от 10 до 100 раз. Вы получите в итоге
зан типичный пример его использования. форму сигналов, указанную на рисунке;
По идее эта схема должна открывать вен­ если бы выход подключался к счетчику
тиль и пропускать входные импульсы, если или регистру сдвига, то они наверняка
ключ разомкнут. Ключ связан с землей отреагировали бы на каждый дополнитель­
(а не с шиной +5 В) из-за особенности ный импульс, вызванный этим дребезгом
биполярны х ТТЛ -схем (в п роти воп о­ контактов.
ложность КМ ОП-элементам), состоящей На рис. 8.49 показано, как разрешить
в том, что вы должны обеспечить отвод эту проблему. При первом же соприкос­
тока от входа ТТЛ в состоянии низкого новении контактов триггер изменит свое
уровня (0,25 мА для LSTTL), в то время состояние и в дальнейшем уже не будет
как в состоянии высокого уровня входной реагировать на последующий дребезг, по­
ток близок к нулю. Кроме того, обычно скольку двухпозиционный однополюсный
в устройствах имеется шина земли, удоб­ ключ не может совершать колебания до
ная для подсоединения к ней ключей и противоположной позиции. В результа­
те дребезг выходного сигнала будет от­
сутствовать, как и показано на диаграмме.
Такая схема подавления дребезга широко
1,0 кОм
ля \ используется; так, микросхема '279 имеет
четыре 67?-триггера в одном корпусе.
К сожалению, такая схема имеет неболь­
^ "V шой недостаток. Дело в том, что первый
импульс, возникающий на выходе венти­
_ Г Ц и _ £
ля после того, как он откроется, может
оказаться укороченным: это можно опре­
Рис. 8.48. «Дребезг» переключения. делить по моменту замыкания ключа по
Цифровые схемы 531

отношению к входной серии импульсов.


То же самое относится и к конечному
импульсу последовательности (разумеет­ Рис. 8.51. Синхронизированный триггер.
ся, что и ключи без подавления дребезга
имеют те же проблемы). В тех случаях, рующего импульса выходное состояние
когда этот нежелательный эффект может триггера либо изменяется, либо остается
оказать какое-то значение, применяется прежним, в зависимости от того, какие
схема синхронизатора, которая позволяет сигналы действуют по информационным
его устранить. входам.
Многовходовые триггеры. На рис. 8.50 Простейшая схема тактируемого триг­
показана еще одна простая схема тригге­ гера приведена на рис. 8.51. От рассмот­
ра. В ней использованы вентили ИЛИ- ренной выше схемы она отличается на­
НЕ: высокий уровень на входе устанавли­ личием двух вентилей («SET» и «RESET»).
вает соответствующий выход триггера в Легко проверить, что таблица истиннос­
состояние низкого уровня. Устанавливать ти для этого триггера будет иметь вид
или сбрасывать триггер различными сиг­
налами можно благодаря наличию не­ S R
скольких входов. На этом схемном фраг­ 0 0 Q.
менте нагрузочные резисторы не исполь­ 0 1 0
зую тся, п о ско л ьку входны е си гн ал ы 1 0 1
формируются где-нибудь в другом месте 1 1 Неопределенное
состояние
(с помощью стандартных выходов с ак­
тивной нагрузкой). где Q„+ i~ состояние выхода Q после пода­
чи (и + 1)-го тактового импульса, a Qn — до
8.17. Тактируемые триггеры его поступления. Главное отличие этой
схемы от предыдущей состоит в том, что
Триггеры, выполненные на двух вентилях, входы S и R в этом случае должны рассмат­
как показано на рис. 8.47 и 8.50, обычно риваться как информационные и сигналы,
называют R S (от английских слов: set - присутствующие на этих входах в момент
«установка» и reset — «сброс»), или асин­ поступления тактового импульса, и опре­
хронными триггерами. Посредством по­ деляют, что произойдет с выходом Q.
дачи соответствующего входного сигнала У этого триггера есть один недостаток.
они могут быть установлены в то или Дело в том, что изменение выходного
иное состояние, ЛУ-триггеры удобно ис­ состояния в соответствии со входными
пользовать в схемах защиты от дребезга, а сигналами может происходить в течение
также во многих других случаях, однако всего отрезка времени, на котором такто­
более ш ирокое прим енение получили вый импульс имеет вы сокий уровень.
триггеры, схема которых несколько отли­ В этом смысле он еще подобен асинх­
чается от рассмотренной. Вместо пары ронному /?5-триггеру. Эта схема известна
асинхронных входов они имеют один или также под названием «прозрачный ф ик­
два информационных входа и один так­ сатор», потому что выход «насквозь про­
тирующий вход. В момент подачи такти- сматривает» вход в течение интервала
532 Глава 8

Рис. 8.52. О-триггеры с за­


пуском по фронту.

пользуются в этом виде, но для того что­


бы п о н ять, к ак они работаю т, имеет
смысл рассмотреть их внутреннюю струк­
туру. На рис. 8.52 показаны принципи­
альные схемы так называемых Z)-тригге­
ров. И нф орм ация, поступивш ая н а D-
вход, передается на выход Q после подачи
тактового импульса. Рассмотрим прин­
ципы действия триггера типа ведущий-
ведомый (рис. 8.52 а). Если тактовый сиг­
нал имеет высокий уровень, разрешается
работа вентилей 1 и 2, через которые ве­
дущий триггер (вентили 3 и 4) устанавли­
вается в состоян и е, соответствую щ ее
Z)-входу: М = Д М ' = D 1. Вентили 5 и 6
закрыты, поэтому ведомый триггер (вен­
тили 7 и 8) сохраняет свое предыдущее
состояние. Когда тактовый сигнал перей­
дет в состояние низкого уровня, входы ве­
дущего триггера отключатся от D -входа,
а входы ведомого подключатся к входу ве­
действия тактового сигнала. Всесторонние дущего, в результате последний передаст
возможности триггерных схем раскроют­ свое состояние ведомому триггеру. Пос­
ся после введения новых, нескольких от­ ле этого никакие изменения на выходе
личных от рассмотренных конфигураций, произойти не смогут, так как ведущий
которые представляют собой триггер типа триггер заблокирован. С приходом следу­
«ведущий-ведомый» (двухступенчатый) и ющего тактового сигнала ведомый триг­
триггер, запускаемый по фронту. гер отключится от ведущего, а ведущий
Триггеры типа «ведущий-ведомый» и воспримет новое состояние входа.
триггеры, запускаемые по фронту. Эти С точки зрения внешних сигналов триг­
типы триггеров наиболее распростране­ гер, срабатывающий по фронту, ведет себя
ны. Информация, поступившая на вход­ точно так же, однако внутри он работает
ные линии этого триггера к моменту воз­ по-другому. П ринцип его действия не­
никновения перехода или «фронта» так­ трудно разобрать самостоятельно. Схема,
тового сигнала, определяет, каким будет показанная на рис. 8.52 б, представляет
состояние выхода в последующий интер­ собой распространенны й /)-триггер 74
вал времени. Такие триггеры выпуска­ семейства ТТЛ, срабатывающий на поло­
ются в виде недорогих ИМ С и всегда ис­ жительном перепаде. В рассмотренном
Цифровые схемы 533

тактового импульса выход Q воспроизво­


S S дит значение /-входа. Если оба входа
- - J Q Уи К имеют низкий уровень, то состояние
°1 0 °1 °
> г 74 - > 1 4 0 1 3 -с > 2 112 выхода не изменится. И наконец, если на
Q- Q- - К Q обоих входах высокий уровень, триггер
R R R будет совершать «переброс» (менять свое
состояние на каждом тактовом импульсе),
т. е. работать в счетном режиме).
Рис. 8.53. D- и /А'-триггеры. П редупреждение. Н екоторы е старые
типы //^-триггеров представляют собой
ранее триггере типа «ведущий-ведомый» «ловушку для единиц». Этот термин вы
данные на выход передавались по отри­ не найдете ни в одной документации, он
цательному перепаду тактового импульса. означает явление, которое может привес­
В номенклатуру стандартных ИМС, вы­ ти неосведомленного человека к весьма
пускаемых промы ш ленностью , входят неприятным последствиям. Дело в том,
триггеры, срабатывающие как по одной, что если на интервале, когда ведомый
так и по другой полярности перепада. триггер открыт тактовым сигналом, вход
Кроме того, большинство триггеров име­ J и К (или оба одновременно) на какой-то
ют также асинхронные входы S и R. Они момент изменит свое состояние, а затем
могут устанавливаться или сбрасываться до окончания тактового сигнала вернется
как высоким, так и низким уровнем в в исходное, то это кратковременное со­
зависимости от типа триггера. На рис. 8.53 стояние триггер запомнит и в дальнейшем
показано несколько популярных тригге­ он будет вести себя так, как если бы это
ров. Стрелка обозначает динамический состоян и е сохрани лось. В результате
вход (срабатывание по фронту), а кружок - триггер может переброситься на следую­
инверсию. Таким образом, изображенная щем тактовом перепаде, даже если сигна­
на рисунке схема '74 представляет собой лы, действующие в момент этого перепа­
сдвоенный D -триггер, который срабаты­ да на входах J к К подтверждают преды­
вает по положительному перепаду и име­ дущее состояние. Это может привести,
ет асинхронные входы S и R, активные мягко говоря, к своеобразному поведе­
по низкому уровню. Схема 4013 представ­ нию. Проблема возникает из-за того, что
ляет собой сдвоенный О-триггер семей­ эти триггеры были сконструированы в
ства КМ ОП, срабатывающий по положи­ предположении, что тактовый импульс
тельному перепаду и имею щ ий аси н ­ имеет очень короткую длительность, в то
хронные входы S п R, активные по высо­ время как в действительности тактирова­
кому уровню. Интегральная схема 112 — ние всегда производится сигналом конеч­
это сдвоенный /К-триггер типа ведущий- ной длительности. Если используется
ведомый, срабатываю щ ий по отри ц а­ триггер типа «ведущий-ведомый», следу­
тельному перепаду и имеющий асинхрон­ ет соблюдать меры предосторожности,
ные входы S и R, активные по низкому либо вообще избегать их, применяя более
уровню. надежные триггеры, работающие по фрон­
/ЙГ-триггер. П о п р и н ц и п у действия ту. Две хорошие альтернативы, которые
УА'-тригтер аналогичен /)-триггеру, но используют истинно запуск по фронту,
имеет два информационных входа. Его это приборы '112 и '109. Оба представля­
таблица истинности имеет вид: ют собой сдвоенные (два в одном корпу­
J К се) /А'-триггеры с асинхронны ми S - и
е„+,
0 0
Л-входами, активными по низкому уров­
0 1 0 ню. Устройство '112 срабатывает по от­
рицательному фронту тактового сигнала,
1 0 Q. а устройство '109 — по положительному.
Если на входы поступают противопо­ Схема '109 имеет интересную особен­
ложные сигналы, то на очередном фронте ность, а именно: А--вход у него инверсный,
534 Глава 8

Вход
, л т
Выход

Рис. 8.54. Схемы деления на 2.

поэтому иногда его называют УА'-триггер можно сформулировать и следующим об­


с запретом. Таким образом, если вы со­ разом: в какой точно момент по отноше­
едините входы У и А" вместе, то получите нию к тактовому импульсу ^ тр и гге р (или
Д-триггер. Для перевода его в счетный какой-нибудь другой) анализирует состо­
режим вы заземляете вход К ', а на входе яние своего входа? Ответ такой: для любо­
У устанавливаете ВЫ СОКИЙ уровень. го тактируемого устройства существует
Делитель на 2. Легко построить схему определенное «время установления» tyc и
делителя на 2, используя способность «время удержания» t . Для того чтобы
триггеров работать в счетном режиме. На схема работала правильно, информация
рис. 8.54 показаны два способа построе­ должна поступать на вход не позднее чем
ния такого делителя. УА'-триггер работает за время tyCT до возникновения тактового
в счетном режиме, когда оба входа имеют перепада и оставаться н еизм енной по
ВЫСОКИЙ уровень, производя на выходе крайней мере в течение времени /“ после
сигнал, показанный на рисунке. Вторая него. Например, для триггера 74НС74,
схема также будет совершать перебросы, /уст = 20 не и / = 3 не (рис. 8.55). В рас­
так как ее D-вход подключен к собствен­ смотренной схеме счетного триггера тре-
ному выходу Q ' и в момент поступления
Информация Информация Информация
тактового импульса на D- входе всегда может меняться может
действует инверсия по отношению к те­ изменяться не долж на изменяться
кущему состоянию триггера. Частота сиг­
нала на выходе в любом случае будет
равна половине входной частоты.
Синхронизация информации и тактирова­
ние. В связи с последней схемой возни­
ТАКТ
кает интересный вопрос: не получится ли
так, что триггер не сможет перебросить­
ся, так как состояние Z)-входа изменяется
почти сразу же вслед за тактовым импуль­
3 НС м и н .
сом? Другими словами, не начнет ли схе­
ма сбиваться, если на ее входе происходят Рис. 8.55. Время установления данных и время
такие странные явления? Этот вопрос удержания.
Цифровые схемы 535

бование к времени установления будет триггера подключен к тактическому входу


сохраняться неизменным в течение как последующего) легко получить «делитель
минимум 20 не, предшествующих очеред­ на 2"», или двоичный счетчик. На рис. 8.56
ному тактовому перепаду. Может пока­ показана схема четырехразрядного асин­
заться, что при этом нарушается требова­ хронного счетчика и даны его временные
ние к времени удержания, но это не так. диаграммы. Заметим здесь, что если вы­
М инимальное время распространения ход Q каждого триггера непосредственно
сигнала с тактового входа на выход состав­ действует на тактовый вход следующего,
ляет 10 не, и D-триггер, вклю ченны й срабатывание триггеров должно происхо­
в счетном режиме, будет иметь неизмен­ дить по спаду (заднему фронту) сигнала
ное состояние £)-входа в течение по мень­ на тактовом входе (показано кружком
шей мере 10 не. Большинство современ­ инверсии). Эта схема представляет собой
ных устройств вообще не представляют счетчик-делитель на 16: на выходе после­
требований ко времени удержания (имеют днего триггера формируются прямоуголь­
*уст = 0)- Если сигнал на D -входе изменяет­ ные импульсы, следующие с частотой,
ся на интервале времени удержания, то равной 1/16 частоты входного тактового
может возникнуть любопытный эффект, сигнала. Схема называется счетчиком,
носящий название «метастабильное состо­ поскольку информация, присутствующая
яние», при котором триггер не может на четырех входах Q, может рассматри­
определить, в какое состояние он должен ваться как 4-разрядное двоичное число,
перейти. Об этом явлении мы вскоре еще которое изменяется от 0 до 15, увеличи­
упомянем. ваясь на единицу с каждым входным им­
Деление на число, большее чем 2. С по­ пульсом. Этот факт отражает временная
мощью каскадного соединения счетных диаграмма на рис. 8.56 б, на которой СЗР
триггеров (выход Q каждого предыдущего означает «старш ий значащ ий разряд»,
МЗР СЗР

Ос 0. о., а.

JUTTL J J j j
Входные
тактовые ф ■с> Ф Ф
импульсы

Рис. 8.56. 4-разрядный счетчик.


536 Глава 8

МЗР - «младший значащий разряд», а


изогнутые стрелки, облегчающие понима­
ние, указывают, какими перепадами вы­
зываются изменения сигналов.
Этот счетчик, как вы увидите в разд.
8.25, выполняет настолько важную фун­
кцию, что выпускается в виде большого
числа модификаций, выполненных в виде
однокристальных микросхем, включая
такие форматы счета, как 4-разрядный,
двоично-десятичный и многоцифровой.
Соединяя эти счетчики каскадно и вос­
производя их содержимое с помощью
цифрового индикатора (например свето­
диодного) можно легко построить схему
подсчета каких-либо событий. Если раз­
решить прохождение импульсов на вход
счетчика в течение ровно 1 с, то полу­
чится счетчик частоты, который будет
воспроизводить значение частоты путем
подсчета числа п ери одов в секунду. Рис. 8.57. Классическая последовательностная схема:
В разд. 15.10 приводятся схемы этого регистры памяти и комбинационная логика. Эту схе­
простого, но очень полезного устройства. му можно легко реализовать с использованием одно­
Промышленностью выпускаются одно­ кристальных регистровых ПМЛ (см. раза. 8.27).
кристальные счетчики частоты, в состав
которых входят дополнительно генератор, Синхронные тактируемые системы. Мы
схемы управления и вывода на индика­ уже упомянули в предыдущем разделе, что
цию. Триггер такого устройства показан последовательностные логические схемы,
на рис. 8.71. в которых для управления всеми тригге­
На практике простейшая схема каска­ рами используется общий источник так­
дирования счетчиков посредством соеди­ товых импульсов, имеют ряд преим у­
нения каждого выхода Q со следующим ществ. В таких синхронных системах все
тактовым входом имеет некоторые инте­ действия происходят сразу же после воз­
ресные проблемы, связанные с покаскад­ никновения тактового импульса и опре­
ной задержкой распространения сигнала деляются тем состоянием, которое имеет
по цепочке триггеров. По этой причине место непосредственно перед его возник­
лучше использовать схему, в которой один новением . Общая структура подобной
и тот же тактовый сигнал подается одно­ системы показана на рис. 8.57.
временно на все входы. В следующем Все триггеры объединены в один общий
разделе мы будем рассматривать эти син­ регистр, представляющий собой не что
хронные тактируемые системы. иное, как набор /)-триггеров, у которых
тактовые входы соединены вместе, а ин­
8.18. Последовательностная логика - дивидуальные Z)-входы и выходы Q выве­
объединение памяти и вентилей дены вовне. Каждый тактовый импульс
вы зы вает п ередачу у р о в н ей , п р и су т­
После того как мы изучили свойства триг­ ствующих на Z)-входах, на соответствую­
геров, посмотрим, что можно получить, щие выходы Q. Блок, состоящий из венти­
если объединить их с рассмотренной ра­ лей, анализирует состояние выходов ре­
нее комбинационной (вентильной) логи­ гистра и внешних входов, вырабатывает
кой. Составленные из вентилей и тригге­ новую комбинацию сигнала для Z)-входов
ров схемы, представляют собой наиболее регистра и выходные логические уровни.
общую форму цифровой логики. Эта с виду простая схема обладает очень
Цифровые схемы 537

использовать карту Карно, но для данного


простого примера можно сразу увидеть,
0, Q, что Z), = Q2, D2 = (Q { + Q2)'. Этому со­
>
ответствует схема на рис. 8.59.
Q, Легко проверить, что эта схема рабо­
тает так, как и было задумано. Так как
ллл_г она является синхронной, или тактируе­
мой, сигналы на обоих выходах изменя­
ются одновременно (если же выход пер­
Рис. 8.58. вого триггера непосредственно подклю­
ч ить к так то во м у входу в то р о го , то
получится асинхронный счетчик). В об­
большими возможностями. Рассмотрим щем случае предпочитают использовать
пример. синхронные (или тактируемые) системы,
Пример: деление на 3. Попробуем по­ так как они имеют более высокую поме­
строить схему синхронного делителя на хоустойчивость. Это обусловлено тем, что
3 с помощью двух /)-тригтеров, тактируе­ к моменту возникновения тактового им­
мых входным сигналом. В этом случае пульса триггеры находятся в у стан о ­
Z), и D2 будут входами регистра, Qx и Q2- вившемся состоянии, а на схемы, в кото­
его выходами, общая тактовая линия бу­ рых входные сигналы анализируются толь­
дет представлять главный тактовый вход ко по фронтам тактовых импульсов, не
(рис. 8.58). действуют помехи, возникающие за счет
1. Выберем три состояния: емкостных связей от других триггеров или
0, Q, по каким-либо другим причинам. Еще
одно преимущество состоит в том, что в
тактируемых системах переходные состо­
яния (вызванные задержками, в резуль­
О 0 (т. е. первое состояние) тате чего выходные сигналы изменяются
2. Определим, что должно быть на вы ­ не одновременно) не вызывают на выхо­
ходах комбинационной схемы, для того дах ложных сигналов, поскольку система
чтобы получить последовательность этих не воспринимает никаких изменений, ко­
состояний, т. е. что должно поступать на торые происходят после возникновения
D-входы, для того чтобы получить требу­ импульса. Далее мы проиллюстрируем это
емые состояния на выходах: на ряде примеров.
Запрещенные состояния. Что произойдет
е, Ог я, со схемой деления на 3, если ее триггеры
0 0 0 1 каким-то образом окажутся в состоянии
0 1 1 0 (Q |> Q2) = (1. 1)? Это может произойти
1 0 0 0 при включении питания, так как началь­
3. Построим вентильную схему, кото­ ное состояние триггеров предсказать не­
рая, с помощ ью имеющ ихся выходов, возможно. Из схемы видно, что первый
позволяла бы получить требуемые состо­
яния на выходах. В общем случае можно

U — U— LT

Рис. 8.59. Схема деления на 3.


538 Глава 8

если выход триггера переходит из 0 в 1, то /, К = 1,


X (где X — любое значение). Наконец, проверьте,
не будет ли схема застревать в запрещенном состоя­
нии (это наблюдается в четырех из 16 возможных
решений этой задачи).
Упражнение 8.25. Постройте синхронный 2-раз-
рядный реверсивный счетчик: он имеет тактовый вход
и вход управления (U/D'); выходы являются выходами
триггеров (?, и Qr Если вход U/D имеет ВЫСОКИЙ
уровень, то он работает как обычный двоичный счет­
Рис. 8.60. Диаграмма состояний схемы деления на 3.
чик, а если НИЗКИЙ, то он считает в обратном
направлении-Q20, = 00, 11, 10, 01, 00...
тактовый импульс переведет ее в состояние Диаграмма состояний как инструмент
( 1, 0) и далее она будет функционировать проектирования. Д иаграмма состояний
как положено. В таких случаях важно про­ может оказаться полезной при разработ­
изводить проверку запрещенных состоя­ ке последовательной логики, особенно в
ний, поскольку схема может случайно ока­ тех случаях, когда переходы из одного
заться в одном из них. (С другой стороны, состояния в другое могут происходить раз­
все возможные состояния системы можно личны м путем. Создавая такую схему,
определить на начальном этапе разработ­ нужно сначала выбрать совокупность един­
ки.) Диаграмма состояний, показанная для ственных состояний системы и каждому
данного примера на рис. 8.60, может ока­ из н их п р и с в о и т ь с о б с т в е н н о е и м я
заться полезным диагностическим инстру­ (т. е. двоичный адрес). Здесь потребуется
ментом. Если в системе используются и не менее п триггеров, где п — наименьшее
другие переменные, то обычно для каж­ целое, для которого 2" больше или равно
дого перехода рядом со стрелкой записы­ числу различных состояний системы. Д а­
ваются условия. Стрелки могут идти в обо­ лее устанавливают правила переходов из
их направлениях, а также из одного состо­ одного состояния в другое, т. е. все воз­
яния к нескольким другим. можные условия для входа в каждое со­
Упражнение 8.24. Постройте синхронную схему стояние и выхода из него. Таким обра­
деления на 3 с помощью двух /АГ-триггеров. Это зом, задача построения последовательной
можно выполнить (16 различными способами) без логики свелась к задаче построения ком­
использования вентилей или инверторов. Когда вы би н ац и он н ой логи ки , которую всегда
будете составлять таблицу требуемых значений для
входов и J2, К„ не забудьте, что для каждой можно решить с помощью известных ме­
точки J, К существуют две возможности. Например, тодов, например карты Карно. Реальный
пример показан на рис. 8.61. Заметим,
что здесь могут иметь место состояния, из
которых нет перехода к другим состояни­
[ учащийся^ Получены все ям (например «получение диплома»).
высшие оценки Регистровые ПЛМ. Программируемые
логические ИС (ПМЛ и ПЛМ, смотрите
разд. 8.15) выпускаются как с вентилями,
так и с си н хрон н о тактируем ы м и D-
триггерами на одном кристалле. Они из­
вестны как регистровые ПМЛ и ПЛМ и
являются идеальными для построения пос­
ледовательностных схем. Вы узнаете, как
Прохождение это делать в разд. 8.27.
соответствующего
курса
8.19. Синхронизатор

И нтересно использование триггеров в


последовательных схемах в качестве син­
Рис. 8.61. Диаграмма состояний. хронизаторов. Предположим, что в син-
Цифровые схемы 539

Т Входная
JUITLTLпоследователь­
Рис. 8.62. Синхронизатор
импульсной последова­
ность импусльса тельности.

Останов.
имели только полные периоды, и тогда
нужно использовать схему синхронизации,
подобную представленной на рис. 8.62.
При нажатии кнопки «пуск» на выходе
вентиля 1 возникает ВЫ СОКИЙ уровень,
но до появления заднего фронта очеред­
ного импульса на выходе Q триггера со­
хранится Н И ЗКИ Й уровень. В результате
на выход вентиля 3 И-Н Е будут проходить
только полные импульсы. На рис. 8.62
показаны временные диаграммы. Кривые
со стрелками показывают, какие действия
вызываются соответствующими перепадами.
Как видно из диаграммы, изменения Q
происходят сразу по заднему фронту вход­
хронную тактированную систему с тригге­ ного сигнала.
рами поступает внешний управляющий Логические состязания и «всплески». Рас­
сигнал и вы хотите использовать состоя­ смотренный пример позволяет осветить
ния этого сигнала для управления неко­ тонкий, но предельно важный вопрос: что
торым действием. Например, сигнал от же произойдет, если для переброса триг­
измерительного прибора или экспери­ гера будет использоваться положитель­
ментальной установки может указывать, ный перепад? Если вы тщательно про­
что данные готовы для передачи в ЭВМ. анализируете этот случай, то увидите, что
Так как экспериментальная установка и с ПУСКОМ схемы все будет в полном по­
ЭВМ работают совершенно независимо рядке, но если кнопку СТОП нажать
друг от друга, т. е. асинхронно, необхо­ в тот момент, когда на входе действует
димо иметь метод, который позволил бы Н И З К И Й уровень, произойдет весьма
установить порядок в работе двух систем. неприятная вещь (рис. 8.63). Т ак как
Пример: синхронизатор импульсов. Еще
раз рассмотрим в качестве примера схе­
му, в которой триггер подавителя дребез­
га разрешает прохождение последователь­
ности импульсов (разд. 8.16). Эта схема
открывает вентиль всякий раз, когда ключ
замкнут, независимо от фазы, подаваемой
на него импульсной последовательности,
в результате первый или последний им ­
пульс могут оказаться укороченными. За­
дача состоит в том, что замыкание ключа
происходит асинхронно с п ослед ова­
тельностью импульсов. В некоторых слу­ Рис. 8.63. Логические состязания могут вызвать
чаях важно, чтобы все тактовые импульсы появление коротких импульсов.
540 Глава 8

последним (3) вентиль И -Н Е будет открыт см. гл. 9). Промышленностью выпуска­
до тех пор, пока на выходе триггера не ется сдвоенны й синхронизатор 74120,
установится Н И ЗКИ Й уровень (задержка однако широкого распространения он не
для НС или LSTTJ1 составляет приблизи­ получил.
тельно 20 не), на выходе схемы возни­
кает короткий «выброс» или «всплеск» МОНОСТАБИЛЬНЫЕ
(глитч). Это - классический пример «логи­ МУЛЬТИВИБРАТОРЫ
ческого состязания». Принимая опреде­
ленные меры предосторожности, подобных М оностабильный мультивибратор, или
ситуаций можно избежать, что и показы­ одновибратор, является разновидностью
вает рассмотренный пример. «Всплески» — триггера (триггер иногда называют биста­
это страшная вещь, если они заведутся в бильным мультивибратором), в котором
ваших схемах. Кроме всего прочего, их выход одного из вентилей имеет емкост­
невозможно увидеть на осциллографе и вы ную связь со входом другого вентиля, в
можете просто не узнать об их существо­ результате этого схема всегда возвраща­
вании. «Всплески» могут самым произ­ ется в одно состояние. Если с помощью
вольным образом тактировать цепочки кратковременного входного импульса схе­
триггеров, они могут расширяться или му принудительно установить в другое
сужаться до полного исчезновения, про­ состояние, то она вернется в исходное с
ходя через вентили и инверторы. задержкой, которая будет определяться
Упражнение 8.26. Покажите, что рассмотренная величиной емкости и параметрами схемы
схема синхронизатора импульсов (рис. 8.62) не вы­ (входным током и т. п.). Эта схема ш и­
рабатывает «всплески». роко используется для ф орм и рован ия
Упражнение 8.27. Спроектируйте схему, которая импульсов заданной длительности и по­
позволяет пропускать один полный отрицательный лярности. Построение одновибраторов на
импульс (из входной последовательности импульсов) вентилях и /?С-элементах представляет
на выход после нажатия кнопки. собой довольно сложную задачу. Здесь
Несколько замечаний по поводу син­ надо учитывать конкретное выполнение
хронизаторов. На D- вход триггера сигнал входных вентильных схем, так как напря­
может поступать не только от ключа с жение на емкости в одновибраторе может
подавителем дребезга, но также и от превышать напряжение питания. Чтобы
других логических схем. В средствах не развивать у читателя дурных привычек,
сопряжения с ЭВМ и других применени­ мы не будем давать примеры подобных
ях часто бывает нужно передавать асин­ схем и рассмотрим одновибратор, кото­
хронный сигнал в тактируемое устройство. рый выпускается в виде стандартного функ­
Идеальным решением в этих случаях явля­ ционального блока. В реальных устрой­
ется использование тактируемых тригге­ ствах лучше всего использовать одновиб-
ров или синхронизаторов. В рассмотрен­ раторы в интегральном использовании,
ной схеме, так же как и во всех других создавать же схемы собственной конструк­
логических схемах, необходимо соответ­ ции имеет смысл лиш ь в случае крайней
ствующим образом подключить неисполь­ необходимости, например, если на плате
зованные входы. В данном случае входы имеется свободный вентиль и нет ни од­
S и R нужно подключить так, чтобы они ного места для дополнительной микросхе­
не влияли на работу схемы (для '74 соеди­ мы. Однако, возможно, что это не имеет
нить с ВЫСОКИМ уровнем, а для 4013 смысла даже в таком случае.
заземлить), Неиспользуемые входы, не
влияющие на выход (например, входы не- 8.20. Характеристики одновибраторов
задействованных вентилей), можно остав­
лять неподключенными. Это не относится Входы. Одновибраторы срабатывают по
к элементам КМ ОП, у которых они дол­ положительному или отрицательному пе­
жны зазем ляться, чтобы не появился репаду, который подан на соответствую­
ток в выходном каскаде (более подробно щие входы. Единственное требование к
Цифровые схемы 541

Запуск Аг В
А В R
по этим L X t
входам т Н Н
X L t
L 1 Н
4- Н н
н 1 н

А В R
i Н Н
L т Н
L н Т
Рис. 8.64. Четыре наиболее распространенных одновибратора и их таблицы истинности.

сигналу запуска состоит в том, что он пад озн ач ает переход с В Ы С О К О Г О


должен обладать некоторой минималь­ уровня на Н И ЗК И Й , а не отрицательную
ной длительностью — обычно от 25 до полярность). Дополнительные входы мо­
100 с. При этом он может быть как коро­ гут также использоваться для запрета за­
че, так и длиннее выходного импульса. пуска. Четыре примера одновибраторных
Обычно в схеме предусматривается н е­ схем показаны на рис. 8.64.
сколько входов; это позволяет использо­ Стрелками в строках таблиц показаны
вать для запуска схемы одновибратора направления перепадов на входах, при
несколько сигналов: один по отрицатель­ которых происходит запуск. Например,
ному перепаду, другие по положительно­ '121 запускается, когда на одном из вхо­
му (напомним, что отрицательный пере­ дов А происходит перепад с ВЫСОКОГО
542 Глава 8

уровня на Н И ЗКИ Й , при условии что на '123 и '423, будут начинать новый цикл
входе В и на другом входе А действует отсчета временного интервала, если за
ВЫСОКИЙ уровень. Схема '4538 пред­ время действия выходного импульса на
ставляет собой сдвоенный одновибратор вход поступит новый запускающий им­
с вентилем ИЛИ на входе. Если исполь­ пульс. Такие устройства называют одно-
зуется только один вход, то другой дол­ вибратором с перезапуском. Выходной
жен быть заблокирован, как показано в импульс одновибратора будет шире, чем
таблице. Одновибратор '121 имеет три обычно, если перезапуск схемы происхо­
входа, которые связаны с комбинацией дит во время действия выходного импульса
вентилей И и ИЛИ. На входе В установ­ и заканчивается по истечении интервала
лен триггер Шмитта, что позволяет сни­ ном инальной длительности с момента
зить требования к скорости нарастания поступления последнего запускающего
входного сигнала и к уровню помех. сигнала. Одновибраторы '121 и ’221 не
В состав одновибратора входит также не имеют перезапуска; во время действия
слишком хороший внутренний времяза- выходного импульса они не воспринима­
дающий резистор, который вы можете ют перепады на входе. Большинство пе­
использовать, если у вас нет желания резапускаемы х одновибраторов мож но
подключать внешний резистор R. Схема включить таким образом, чтобы они не
'221 представляет собой сдвоенный вари­ перезапускались. Простой пример п о­
ант схемы '121. Пользователи КМ ОП-вер- добной схемы показан на рис. 8.65.
сий могут иметь только сдвоенные ва­ Сброс. Большая часть одновибраторов
рианты схем. Популярная ИС 123 пред­ имеет вход сброса, который отменяет все
ставляет собой сдвоенный одновибратор остальные функции. К ратковременны й
с вентилем И на входе; на неиспользуемые сигнал по входу R обрывает выходной
входы должны подаваться разрешающие импульс. Этот вход может использовать­
сигналы. Заметим, в частности, что он сра­ ся для предотвращ ения выработки вы­
батывает и по спаду сигнала на Л-входе, ходного импульса в момент включения
если в этот момент на оба входа запуска питания логической системы; однако уч­
поданы соответствующие сигналы. Это тите сделанные выше замечания по схе­
свойство не является для одновибраторов ме '123.
универсальным и может требоваться или не Длительность импульса. С помощью стан­
требоваться, в зависимости от конкретного дартных одновибраторов можно получать
использования (чаще всего оно не требует­ импульсы длительностью от 40 не до не­
ся). Схема '423 является той же самой схе­ скольких миллисекунд (и даже секунд) за
мой, что и '123, но без этой «особенности». счет подключения внешнего конденсато­
На принципиальных схемах при изобра­ ра, обычно в сочетании с резистором.
жении одновибраторов входной вентиль С помощью устройства, подобного ИС 555
обычно не показывается. Это позволяет (см. разд. 5.14) м ож но ф о р м и р о в ать
сэкономить место, но создает некоторую импульсы и большей длительности, но
путаницу. его входные характеристики зачастую со­
Перезапуск. Большинство одновибрато­ здают неудобства. Интервалы времени
ров, например, упомянутые ранее '4538, очень большой длительности лучше всего

Рис. 8.65. Схемы одновибраторов без перезапуска.


Цифровые схемы 543

отсчитывать цифровы м способом (см. ра Rs можно линейно регулировать в пре­


разд. 8.23). В табл. 8.8 в конце главы делах от 0,1 до 99%. Оба компаратора
приведены данные на большинство при­ имеют гистерезис порядка нескольких
меняемых одновибраторов. милливольт (Л8 и Л,), наличие которо­
го позволяет предотвратить многократ­
8.21. Пример схемы одновибратора ные переходы, возникающие под действи­
ем помех. В схеме прим енен сд воен ­
На рис. 8.66 показана схема генератора ный компаратор типа LH393 с малым
прямоугольных импульсов с независимой потреблением, который имеет на выхо­
регулировкой частоты и скважности; схе­ дах схемы с открытым коллектором и
ма позволяет с помощью внешнего сигнала диапазон синфазного сигнала на входе
закрывать выход по отрицательному пе­ от нуля до напряжения питания. Отли­
репаду выходного импульса. Токовое зер­ чительное свойство этой схемы — ее спо­
кало Г, - Тъ формирует на С, нарастающее собность синхронизироваться (работать
напряжение. Когда это напряжение дос­ в старт-стопном режиме) по внешнему
тигает порога верхнего компаратора, рав­ управляющему сигналу. При наличии сиг­
ного 2/3 U+, запускается одновибратор, нала на входе ОСТАНОВ схема останав­
который вырабатывает положительный ливает свой генератор по очередному от­
импульс длительностью 2 мкс и устанав­ рицательному перепаду на выходе. Когда
ливает л-канальный ПТ Тх в проводящее на входе ОСТАНОВ сигнал снова перей­
состоян ие и р азряж ает кон д ен сатор. дет в состояние Н ИЗКО ГО уровня, гене­
Таким образом, на С, формируется пило­ ратор сразу же начинает формировать
образный сигнал, нарастающий до зна­ полные периоды , как если бы задний
чения + 8 со скоростью, которая задается фронт возник в момент снятия сигнала
потенциометром R^. Нижний компаратор ОСТАНОВ. Д ополнительны й 3-й вход
из пилообразных импульсов вырабатыва­ схемы И -Н Е, который соединен с выхо­
ет прямоугольные импульсы со скважно­ дом компаратора, служит для того, что­
стью, которую с помощью потенциомет­ бы схема не «застревала» при заряженной

U +12 В

Рис. 8.66. Самосинхронизирующийся генератор импульсов с внешним запуском.


544 Глава 8

емкости Сг Длительность импульса на го импульса к конденсатору прикладыва­


выходе одновибратора выбирается доста­ ется напряжение обоих полярностей. Для
точно большой, чтобы за это время С, мог разрешения этой проблемы можно уста­
полностью разрядиться. новить диод или транзистор, либо перей­
ти на цифровые методы формирования
8.22. Предостережения относительно задержек с использованием тактовых им­
одновибраторов пульсов и триггерных каскадов, как пока­
зано в разд. 8.23. Использование внеш­
С одновибраторами связан целый ряд про­ него диода или транзистора повышает чув­
блем, которые не встречаются в других ствительность к температуре и снижает
цифровых схемах. Кроме того, существу­ точность задания длительности импульса.
ют некоторые общие принципы, которы­ Это может также затруднить перезапуск.
ми необходимо руководствоваться при их Скважность. В некоторых схемах одно­
использовании. Остановимся сначала на вибраторов длительность выходного им­
«патологии» одновибраторов. пульса при высокой скважности уменьша­
Проблемы применения одновибраторов. ется. Типичным примером являются эле­
Длительность импульса. Для построения менты серии ТТЛ 9600—9602, у которых
одновибраторов используется сочетание при скважности 60% длительность выход­
линейных и цифровых методов. Так как ного импульса постоянна, а при скважно­
линейные схемы чувствительны к измене­ сти 100% уменьшается приблизительно на
ниям LL3 и й21Э от температуры и т. п., 5%. Устройство '121 (прекрасное в других
одновибраторы также реагируют на изме­ отношениях) в этом отношении еще хуже,
нения температуры и напряжения питания так как при высокой скважности вообще
дрейфом длительности выходного импуль­ ведет себя неустойчиво.
са. В типовых устройствах, наприм ер Запуск. Если для сигнала одновибрато­
4538, длительность выходного импульса ров используются слишком короткие сиг­
колеблется в пределах нескольких процен­ налы, то они могут вырабатывать на вхо­
тов при изменении температуры в интер­ де нестандартные, или «дрожащие», им­
вале О—50°С и при отклонениях напряже­ пульсы . Д ля зап ускаю щ его им пульса
ния питания ±5%. Кроме того, разброс задается минимальная длительность, на­
параметров от устройства к устройству для пример, для прибора LS 121 она состав­
ИМС данного типа составляет ±10%. При ляет 50 не, а для 4098—140 не при напря­
рассмотрении чувствительности к измене­ жении питания + 5 В и 40 не при пита­
ниям температуры и напряжения питания нии + 15 В (при повышенном напряжении
важно помнить, что кристалл (чип) мик­ питания элементы КМ ОП имеют более
росхемы может обладать эффектом само- высокое быстродействие и повышенную
разогрева, а изменение напряжения пита­ нагрузочную способность).
ния во время действия выходного импуль­ Помехоустойчивость. Так как в состав
са (например, короткие-«всплески» по одновибраторов входят линейные элементы,
шине питания) может существенно повли­ их помехоустойчивость обычно хуже, чем у
ять на его длительность (или вызвать лож­ других цифровых схем. Одновибраторы
ный запуск). очень чувствительны к емкостным связям
Длинные импульсы. При формировании вблизи внешних времязадающих элементов
длинных импульсов емкость конденсатора R и С. Кроме того, некоторые одновибра­
может превышать несколько микрофарад, торы склон н ы к лож ном у запуску от
что приводит к необходимости использо­ «всплесков» на шине земли или U+.
вать электролитические конденсаторы. Паспортные данные. Не забывайте, что
В этом случае возникает проблема тока характеристики одновибратора (точность
утечки (величина которого для конденса­ выдержки, времени, нестабильность по
торов малой емкости незначительна), осо­ температуре и напряжению питания) мо­
бенно если учесть, что в большинстве од­ гут значительно ухудшаться на краях ра­
новибраторов во время действия выходно­ бочего диапазона. В паспортных данных
Цифровые схемы 545

на устройство обычно задается диапазон что на «концах» не будут вырабатываться


длительностей выходного импульса, в ко­ дополнительные импульсы, т. е. что сиг­
тором оно имеет хорошие характеристи­ налы, разрешающ ие запуск одновибра­
ки, и это может ввести в заблуждение. тора, сами не производят его запуск. Это
Кроме того, могут наблюдаться различия легко сделать, если тщательно просмотреть
в тех же самых номерах изделий, когда таблицу истинности. Не увлекайтесь при­
они выпускаются различными изготови­ менением одновибраторов, хотя заманчи­
телями. Читайте паспортные данные тщ а­ во ставить их везде, где только можно, и
тельно! наблюдать, как импульсы от них разбега­
Развязка по выходу. Вообще, в любом ются во все точки схемы. Схемы, содер­
цифровом устройстве, содержащем триг­ жащие большое число одновибраторов,
геры, выходы должны быть буферирова- свидетельствуют о неопытности разработ­
ны (с помощью вентиля, инвертора, воз­ чика. Кроме указанных проблем суще­
можно, интерфейсной схемы типа линей­ ствуют еще и дополнительные сложнос­
ного ф орм ирователя-возбудителя или ти, связанные с тем, что схема, насыщен­
драйвера) до того, как они поступят на ная одновибраторами, не допускает су­
кабель или внешний прибор. Если уст­ щественной регулировки тактовой часто­
ройство типа одновибратора подключает­ ты, поскольку все выдержки времени в
ся к кабелю непосредственно, то его ра­ схеме настроены на определенный поря­
бота может стать неустойчивой за счет док возникновения событий. Если есть
влияния нагрузочной емкости и отраже­ возможность выполнить те же функции без
ния сигналов в кабеле. прим енения одновибраторов, ею надо
Общие соображения по применению од­ воспользоваться. Пример такой схемы по­
новибраторов. При использовании одно­ казан на рис. 8.67. Предположим, что тре­
вибраторов для формирования импульс­ буется сформировать по спаду входного
ной последовательности убедитесь в том, сигнала импульс, а затем еще один, за-
+5

1|
> 1 Q
з 'н с а гз
+5 > 1
а 1

ЛЯГ

б
Рис. 8.67. Цифровая задержка (б) заменяет задержку на одновибраторах (а).
546 Глава 8

держанный по отношению к первому. Эти 8.23. Получение выдержки


импульсы могут и сп о л ьзо в ать ся для времени с помощью счетчиков
предварительной установки схемы и за­
пуска операций, перед которыми требо­ К ак мы уже подчеркивали, существует
валось выполнить некоторые предвари­ целый ряд причин, по которым следует
тельные действия, о завершении которых избегать применять одновибраторы в ло­
сообщает спад входного сигнала. Так как гических устройствах. На рис. 8.68 изо­
для управления остальной частью схемы бражена еще одна схема, где триггер и
скорее всего используются тактовые им­ счетчик заменяют одновибратор для фор­
пульсы, будем предполагать, что сигнал мирования импульса большой длительно­
на /)-входе снимается синхронно с пе­ сти. Интегральная схема 4060 представ­
редним фронтом такта. В схеме на рис. ляет собой 14-разрядный двоичный счет­
8.67 а входной перепад запускает первый чик (14 каскадно включенных триггеров)
одновибратор, который по концу своего типа КМ ОП. Фронтом входного сигнала
выходного импульса запускает второй од­ на выходе устанавливается высокий уро­
новибратор. вень, который разрешает работу счетчика.
В схеме на рис. 8.67 б то же самое После отсчета 2"“' импульсов на выходе
делается при помощи /)-триггеров, кото­ Qn и возникает ВЫ СОКИЙ уровень, в ре­
рые вырабатывают на выходе импульсы зультате чего триггер и счетчик сбросят­
с длительностью, равной одному периоду ся. Д анная схема позволяет с высокой
тактовой частоты. В отличие от асин ­ точностью вырабатывать импульсы боль­
хронной схемы, в которой триггеры вклю­ ш ой длительности, причем последняя
чаются каскадно, данная схема является может изменяться с коэффициентом 2.
синхронной. П а ряду причин, в частно­ В состав счетчика 4060 входит также внут­
сти связанных с помехоустойчивостью, ренний генератор, который заменяет вне­
предпочтительнее применять синхронные шний источник тактовых импульсов. Наш
системы. Если необходимо формировать опыт говорит, что встроенный генератор
импульсы большей длительности, можно имеет бедную частотную характеристику
использовать ту же самую схему, но взяв и может (даже в НС-версиях) плохо фун­
при этом другую тактовую частоту, кото­ кционировать.
рую можно получить путем деления ос­ Вы можете использовать законченные
новной (высокочастотной) тактовой пос­ интегральные схемы для задания времен­
ледовательности с помощ ью цепочки ных интервалов с помощью счетчиков.
счетных триггеров. В этом случае основ­ Так, схемы фирмы Intersil ICM 7240/50/60
ной тактовый сигнал может использовать­ имеют встроенные 8-разрядный и двух­
ся для тактирования /)-триггеров. К ак декадный счетчики и необходимую логику
правило, в синхронных системах имеется для формирования задержек, эквивалент­
несколько серий тактовых импульсов, ных накопленному числу счета (1—255 или
образованных в результате деления основ­ 1—99); устанавливать число вы можете
ной частоты. либо устанавливая перемычки, либо с по­
8 1 9 2 периода
тактовой частоты
+

|
— 0 Q
>
JПуск 'НС74

Рис. 8.68. Формирование длинных


импульсов цифровым способом.
Цифровые схемы 547

мощью барабанных переключателей. Схе­


ма 1СМ7242 подобна им, но имеет счет­
чик с установленным жестко значением
для деления на 128. Фирма Ехаг выпус­
кает аналогичный прибор, именуемый
XR2243, который имеет фиксированный
счетчик-делитель на 1024.

ПОСЛЕДОВАТЕЛЬНОСТНЫЕ
ФУНКЦИИ, РЕАЛИЗУЕМЫЕ
НА СТАНДАРТНЫХ ИМС

Различные сочетания триггеров и вентилей,


так же как и рассмотренные ранее комби­
национные схемы, могут быть размещены Рис. 8.69. 4-разрядный D-триггер типа 74LS175.
на одном кристалле ИМС. В последую­
щих разделах мы дадим обзор наиболее ча­
сто используемых типов ИМС в соответствии вместо выходов на 3 состояния. На рис.
с выполняемыми функциями. 8.69 представлен 4-разрядный D-регистр
Так же как и в случае с небогатой ком­ с прямым и инверсными выходами.
бинационной логикой, программируемые Термин «фиксатор» или «защелка» обыч­
логические устройства (ПМЛ и GAL, в но служит для обозначения специального
частности) создают притягательную аль­ типа регистров, которые в открытом со­
тернативу для использования жестких пос­ стоянии отслеживают на своих выходах
ледовательных функций. М ы также об входные сигналы, а в закрытом хранят их
этом будем говорить после рассмотрения последнее значение. Так как термин «фик­
стандартных функций. сатор» стал неоднозначным, часто, для
того чтобы отличить друг от друга два очень
8.24. Фиксирующие схемы-защелки сходных устройства, используют термины
и регистры «прозрачный фиксатор» (собственно «за­
щелка») и «регистр D-типа». Например,
Фиксирующие схемы-защелки и регистры схема '573 представляет собой 8-разряд-
позволяют запоминать комбинацию дво­ ный «прозрачный фиксатор», эквивален­
ичных разрядов, которая подана на их тный '574 D-регистру.
входы, и хранить ее после изменения вход­ Существуют некоторые вариации ф ик­
ных сигналов. Регистр можно построить саторов/регистров, такие как: а) зап о­
с помощью набора D -триггеров, но в этом минающ ие устройства с произвольной
случае число входов и выходов у него выборкой (ЗУПВ), которые позволяют
будет больше, чем требуется обычно. Так вам как записывать, так и читать массив
как в данном применении нет необходи­ регистров (обычно большой), но только
мости использовать раздельно тактовые и по раздельности. ЗУПВ имеют размеры
установочные входы, эти цепи можно от нескольких байт до 1 Мбайт и более и
объединить для всех триггеров; это позво­ обычно используются в системах памя­
лит сократить число выводов ИМ С и даст ти м икропроцессорны х устройств (см.
возможность разместить в стандартном гл. 10 и 11); б) адресуемы е защ елки,
20-контактном корпусе 8 триггеров. Так, устройства м ногоразрядной ф иксации,
например, популярная схема '574 пред­ которые позволяют осуществлять доступ
ставляет собой 8-разрядный D-регистр с к отдельным битам, не изменяя содержи­
фиксацией по положительному фронту и мого остальных; в) защелка или регистр,
с выходами на три состояния; схема '273 встраиваемые в большой кристалл, напри­
подобна ей, но имеет возможность сброса мер, цифроаналоговый преобразователь;
548 Глава 8

такое устройство необходимо, когда вход­


ные данные существуют не все время Ч,
(в течение тактирующего фронта), п о­ >ТА КТ, Qe
скольку внутренний регистр может хра­ >™ КТ„ qc
нить данные. СБРОС л
В табл. 8.9 в конце главы представле­ —
ны данные большинства полезных регис­
тров и защелок. Отметим такие особен­ од
►ТАКТ,
ности этих устройств, как: вход разреше­ в
н ия, сброс, выход н а 3 со с то ян и я и >ТА КТв. 0 Qc
«противоположное» расположение выво­ СБРОС 0

дов (входы на одной стороне корпуса, а
выходы — на другой). Последнее очень
важно, когда вы используете для разме­ Рис. 8.70. Сдвоенный двоично-десятичный счетчик
щения печатную плату. со сквозным переносом типа 74LS390.

8.25. Счетчики числа 7 (0111) к числу 8 (1000) асинхрон­


ный счетчик пройдет через состояния 6,
Как мы уже видели ранее, соединяя триг­ 4 и 0. Это может привести к ложной ра­
геры друг с другом, можно построить счет­ боте схемы, воспринимающей текущее со­
чик. Существует поразительное множе­ стояние счетчика, если в ней не будут пре­
ство разнообразных устройств такого типа, д у см о тр ен ы со о тв етств у ю щ и е м еры .
выполненных в одном корпусе. Попыта­ В таких случаях желательно использовать
емся выяснить некоторые их характерные что-либо подобное /^-триггеру, чтобы ана­
особенности. лизировать состояние счетчика только по
Объем или размер счетчика. Вы можете тактовому перепаду. Асинхронные счет­
найти в популярной 4-разрядной серии чики работают медленнее синхронных, так
как двоично-десятичные, так и двоичные как они накапливают задержку распрост­
(или ш естнадцатиричные, делители на ранения. Д ля облегчения наращ ивания
16). Существуют счетчики и на большее (путем подклю чения выхода Q одного
число разрядов - до 24 (но не все доступ­ счетчика к тактовому входу последующе­
ны), а также счетчики «по модулю и», по­ го) асинхронные счетчики должны иметь
зволяющие производить деление на целое вход, который работает по заднему фронту,
число п, которое задается с помощ ью синхронные счетчики тактируются поло­
входного слова. Для получения большего жительным перепадом.
числа разрядов счетчики, в том числе и Мы рекомендуем семейство '160—'163
синхронные, можно соединять каскадно. 4-разрядных синхронных счетчиков для
Тактирование. Среди выпускаемых про­ большинства применений, где не требуется
мышленностью в виде стандартных ИМ С специальных особенностей. Схемы 590 и
счетчиков одни могут тактироваться по­ 582 являю тся хорошими 8-разрядны ми
ложительным перепадом, а другие отри­ синхронными счетчиками. На рис. 8.70
цательным. Более существенно здесь то, показан сдвоенный двоично-десятичный
является ли счетчик синхронны м или счетчик типа 390.
асинхронным. В синхронных счетчиках Суммирование/вычитание. Некоторые
тактирование всех триггеров происходит счетчики могут считать в обоих направле­
одновременно, а в асинхронных каждый ниях. Для этого существует две возмож­
последующий триггер тактируется выхо­ ности: а) отдельный управляющий вход
дом предыдущего. В асинхронных счет­ ( + / —), который устанавливает направ­
чиках имеют место переходные состояния, ление счета и б) два раздельных счетных
так как начальные триггерные ступени входа для прямого и обратного счета.
перебрасываются несколько раньше пос­ Например, схемы '191 и '193 соответствен­
ледующих. Например, при переходе от но. Схемы '569 и '579 являются 8-разряд-
Вклю
Проверка внеш
инди- гене

СИД-
индикат
перепол

71. 8-знаковый универсальный однокристальный счетчик на 10 М Гц типа Intersil 7216 (с разрешения фирмы Intersil Inc).
550 Глава 8

ными счетчиками, считающими в обоих нений, где цифры (или 4-разрядные груп­
направлениях. пы) мультиплексируются на одну шину
Предварительная установка и очистка. для отображения или передачи в другое
Большинство счетчиков имеют информа­ устройство. Н апример, устройство 779
ционные входы, которые позволяют пред­ представляет собой 8-разрядный синхрон­
варительно заносить в них заданное чис­ ный двоичный счетчик с выходами на
ло. Это, в частности, может потребоваться 3 состояния, которые могут работать как
для построения счетчика по модулю п. параллельные входы. Посредством объе­
Загрузка может быть как синхронной, так динения линий ввода/вывода счетчик раз­
и асинхронной: в устройствах '160—'163 мещается в 16-контактном корпусе. Уст­
используется синхронная загрузка. Это ройство '593 подобно предыдущему, но
означает, что ввод данных в счетчик про­ выполнено в 20-контактном корпусе.
изводится по очередному перепаду такто­ Если вы захотите использовать счетчик
вого импульса при условии, что на линии вместе с индикатором, то к вашим услу­
ЗАГРУЗКА действует разрешающий сиг­ гам несколько устройств, объединяющих
нал. Счетчики '190—'193 являются асин­ в одном кри сталле счетчик, реги стр,
хронными, или асинхронно загружаемыми; 7-сегментный дешифратор и формирова­
это значит, что информация вводится в тель уровней для управления индикато­
счетчик при наличии разрешающего сиг­ ром. Например, серия 4-разрядных счет­
нала «ЗАГРУЗКА» независимо от состоя­ чиков 74С925-74С928. Выпускается также
ния тактовой цепи. Иногда используется схема TIL 306/7, представляющая собой
термин «параллельная загрузка», так как счетчик и индикатор на одном кристалле.
все биты загружаются одновременно. Стоит посмотреть на этот прибор, кото­
Функция СБРОС (или УСТАНОВКА в рый считает и высвечивает отдельные циф­
«0») является ф ормой предустановки. ры! На рис. 8.71 показана очень удачная
В большинстве счетчиков вход СБРО С- БИС счетчика, которая не требует боль­
асинхронный, хотя в некоторых типах ис­ шого числа дополнительных компонентов.
пользуется синхронный СБРОС (например В табл. 8.10 в конце главы представле­
'162/163). ны данные большинства счетчиков-крис­
Прочие особенности счетчиков. В неко­ таллов, которые вы можете использовать.
торы х схем ах н а в ы ход н ы х л и н и я х Многие из них находятся только в одном
используются фиксаторы, которые всегда семействе (например, LS или F), так что
являются «прозрачными фиксаторами», и обязательно проверьте по справочнику
следовательно, счетчик может использо­ перед проектированием.
ваться так, как если бы защелки не было
(следует помнить, что любой счетчик с
параллельными входами может работать 8.26. Регистры сдвига
как защелка, однако при этом нельзя од­
новременно производить счет и хранить Если несколько триггеров соединить так,
информацию). Иногда очень удобно иметь что выход Q каждого предыдущего триг­
счетчик в сочетании с фиксатором, на­ гера будет управлять /)-входом после­
пример, в том случае, когда после начала дующего, а все тактовые входы будут воз­
нового цикла счета необходимо воспро­ буждаться одновременно, то получится
извести или вывести предыдущее значе­ схема, которую называют «регистр сдви­
ние. В частотомере это позволяет полу­ га». По каждому тактовому импульсу ком­
чить устойчивую индикацию с корректи­ бинация «нулей» и «единиц» в регистре
ровкой после каждого цикла отсчета и не будет сдвигаться вправо, а слева через
воспроизводить на индикаторе все теку­ /)-вход первого триггера будет вводиться
щие состояния счетчика, сбрасывая его в новая информация. Как и во всех триг­
нуль после окончания каждого цикла. герных схемах, информация на левом вхо­
Имеются счетчики с выходами на 3 со­ де, присутствующая непосредственно пе­
стояния. Они незаменимы для прим е­ ред возникновением тактового импульса,
Цифровые схемы 551

будет введена в регистр, и на выходе бу­ Выходы


дет обычная задержка распространения.
Таким образом, регистры можно объеди­
нить каскадно, не ожидая возникновения 0* 0в Ос %
режима логических гонок. Регистры сдви­
ТАКТ
га широко используются для преобразо­
вания данных из параллельной формы СБРОС 194
Вход
(сдвиг влево)
(п бит поступает одновременно по п неза­ Вход
висимым линиям) в последовательную (сдвиг вправо)
(биты один за другим передаются по ин­ 5, Л B C D
формационной линии) и наоборот. Они
также прим еняю тся в качестве за п о ­ II II
минающих устройств, особенно в тех слу­ Входы задания Входы параллель-
режимов ной загрузки
чаях, когда данные считываются и запи­
сываются всегда одинаковым образом. % S,
Регистры сдвига, как и счетчик, и ф и к­ 0 0 Запрет
саторы, представлены большим числом
1 0 Сдвиг вправо
разнообразных модификаций. Все наибо­
лее важные моменты, связанные с регис­ 0 1 Сдвиг влево

тром сдвига, будут рассмотрены ниже. 1 1 Параллельное


Объем. 4-разрядные и 8-разрядные ре­ занесение

гистры являются стандартными. Выпус­


каются также регистры и с большим объе­ Рис. 8.72. 4-разрядный реверсивный регистр сдви­
мом (64 бита и больше). Существуют даже га типа 74LS194.
регистры с переменной длиной (напри­
мер, схема 4557 может изменять свою дли­ ется. В некоторых случаях выводятся не­
ну от 1 до 64 бит с помощью 6-разрядно- сколько промежуточных выходов. Един­
го входа управления). ственный способ разместить как парал­
Организация. Обычно регистры сдвига лельный ввод, так и параллельный вывод
являются одиночными, однако выпуска­ в одном малом корпусе —это использовать
ются также сдвоенные, счетверенные и одни и те же контакты в качестве входов
сш естеренные регистры. Больш инство и выходов. Так, наприм ер, схема '299
регистров сдвига производят сдвиг толь­ представляет собой 8-разрядный регистр
ко вправо, но существуют и регистры со параллельного ввода/вывода (P1/PO) в 20-
сдвигом в обоих направлениях, такие как контактном корпусе. Некоторые сдвиго­
'194' и '323', которые имеют вход «направ­ вые регистры включают защелки (фикса­
ление» (рис. 8.72). Остерегайтесь хитро­ торы) на входе или выходе, так что сдвиг
стей типа «двунаправленное™» у схемы может происходить пока данные загружа­
'95': регистр может сдвигать влево, только ются или выгружаются.
если соединить предварительно каждый Так же как и у счетчиков, параллельная
выход с предыдущим входом, затем про­ ЗАГРУЗКА и ОЧИСТКА могут быть либо
извести параллельную загрузку. синхронными, либо асинхронными, на­
Входы и выходы. Небольшие регистры пример, схема '323 подобна схеме '299, но
сдвига могут производить параллельный с синхронной очисткой.
ввод и вывод, и обы чно это делаю т, В табл. 8.11 в конце главы приводится
например, схема '395' является 4-разряд- список сдвиговых регистров. Как всегда,
ным регистром сдвига с параллельным не все типы регистров присутствуют во
вводом и выводом (PI/PO ) с выходом на всех логических семействах, проверяйте по
3 состояния. Большие регистры могут осу­ справочникам.
ществлять только последовательный ввод ОЗУ в качестве сдвиговых регистров.
и вывод, т. е. только ввод в первый триг­ Запоминающее устройство с произволь­
гер или вывод из последнего допуска- ной выборкой можно всегда использовать
552 Глава 8

У г 74F574

0 -регистр 74F574

CS ОЕ
4 4 CY7C123-7 4
0 ОЗУ 256х 4 £) 0-
В ход — / — D Q - h ВХ "** ■¥- D Выход

WE AaA1 A2A3Ai Ai As A1

гп
О
>
т
Влево/вправо * U /E 74F269
ЛИ м-£>°—X.
8-разр. счетчик
Такт. имп.
СЕР СЕТ РЕ
V .7 4 F 0 4
х- -г +5
т
И зменения на входе
Не долж ны меняться

Вх. данные

Вх. специф ик. I 2 2


5 7 4 (a ) Устан Удецжг F04

Такт. имп. / *8,5^.


CLK п
Задер.

Выход F574 (а) Устойчивый

г* 5*- Удержание Все задержки


Установ, даны в не

аК,№ЕдляОЗУ I— 10,5 — »
Задерж ка
счетчика

Выход F269 (адрес)


Н-7-Н
Время выборки

Рис. 8.73. Регистр сдвига Выход ОЗУ CY123


большой длины на ОЗУ и
счетчике; косая черточка ука­ Установка
зывает на кратность линий, Выход F574 (6)
в данном случае имеется 4-
разрядный канал данных (а); б
временная диаграмма для оп­
Задержка t BF04 3,7нс
ределения максимальной ча­ Задержка 0 ,ux F374
стоты синхронизации при Время установки CYC123 8' | нс } 13,5 - 3,7 = 9,8 В.вх.
наихудшем распределении Задержка выхода счетч. F269 10,5 '
временных параметров (б); Время выборки CYC123 7 | 19,5 + 3,7 =23,2 не Н.вых.
Время установки DiuiiF574
вычисления, показывающие
М ин.период синхроимп.сдвига 33 не
наихудшее распределение за­
держек в одном такте синх­
ронизации (в). в

как сдвиговый регистр (но не наоборот), последовательность адресов для КМ ОП


используя внешний счетчик для генерации ОЗУ с организацией 256 х 4 бит. Такая
последовательных адресов. Эта идея по­ комбинация ведет себя подобно четырем
казана на рис. 8.73. 8-разрядный синхрон­ 256-битсдвиговым регистрам с направле­
ный реверсивный счетчик вырабатывает нием сдвига вправо/влево, выбираемым
Цифровые схемы 553

управляю щ ей л и н и ей В В Е Р Х /В Н И З логики, затем соответствую щ ий образ


счетчика. Все остальные входы, как по­ преобразуется в массив перемычек для
казано на рисунке, служат для разреше­ програм м атора ПМ Л. Т ак, н ап рим ер,
ния счета. Выбирая быстрые счетчики и предположим, что мы хотим получить
память, мы можем достигнуть максималь­ 4-входовый мультиплексор с защ елкива­
ной скорости 30 МГц (см. временную диа­ емыми выходами. Мы можем записать
грамму), которая такая же, как и у интег­ логическое уравнение для мультиплекс­
ральных (не на много меньше) сдвиговых ной части (т. е. до .D-входов триггера) в
регистров НС-типа. Этот, метод может виде
быть использован для получения очень
большого регистра сдвига, если требуется. Q.d = I0* S'0*S ',+ /, * 50 * S’,+
Упражнение 8.28. В схеме на рис. 8.73 кажется, + I 2 * S ' 0* S , + /3 * S'0* 5,,
что входные данные поступают в ту же ячейку, что
и выходные данные при чтении. Тем не менее схе­ где входы & и /, — адреса, выбирающие
ма ведет себя подобно классическому сдвиговому ре­ входы / 0 —£ и «*» и « + » соответственно
гистру на 256 слов. Объясните почему. И или ИЛИ.
Регистровые ПМЛ легко реализуют это
с фиксацией результата. Заметим, что мы
8.27. Последовательностные ПМЛ использовали ИЛИ 3-входовых И, пред­
почитая это первоначальному декодиро­
Комбинационные (только на вентилях) ванию выбранного адреса на двухвходо­
ПМЛ, которые мы рассматривали выше вых вентилях И, так как мы вынуждены
в разд. 8.15, входят в большое семейство, использовать сумму произведений (это
которое включает устройства с различ­ также быстрее). О кончательная схема
ным числом регистров D -типа на крис­ показана на рис. 8.75 (заметим, существу­
талле (называемые «регистровые ПМЛ»), ет тонкость для этой схемы, см. подразд.
Типичный из этих ПМ Л—16R8 —показан «Выбросы» в конце этого раздела).
на рис. 8.74. Программируемая-И/фикси- PALASM. Для схем какой-либо разум­
рованная ИЛИ матрица типовых комби­ ной сложности необходимы некоторые
национны х ПМ Л генерирует входные м етоды л о ги ч еск о го п р о ек ти р о в ан и я
уровни для 8 синхронно тактируемых ре­ ПМЛ. Например, ПМЛ 16L8 имеет 2048
гистров D-типа с выходами на 3 состояния; перемычек, а сложный проект может по­
выходы регистра (прямой и инверсный) требовать, чтобы вы прожгли несколько
как и стандартные входные контакты до­ сотен из них, и если вы не необычайно
ступны как входы логической матрицы. обязательны, то маловероятно, что дос­
Если вы посмотрите снова на рис. 8.57, вы тигните цели вручную, корректно опре­
увидите, что ПМЛ с регистрами — это делив их для ПМЛ-программатора.
элемент последовательностной схемы об­ PALASM (PAL A ssem bler, торговы й
щего назначения с определенными огра­ знак фирмы M onolithic Memories Inc.),
ничениями на число регистров и вентилей, р а з р а б о т а н н ы й ф и р м о й M o n o lith ic
и вы можете конструировать что хотите, Memories (впервые создавшей ПМЛ), был
но только в этих пределах. Например, вы одним из первых инструментов. Он берет
можете сделать сдвиговой регистр или логическое выражение, подобное тому,
счетчик, или сразу оба. На практике вы которое мы описали выше, и преобразует
можете сделать некоторый кусок логики его в карту перемычек. Производится это
как часть большой схемы, для которой посредством программы, но без логиче­
альтернативой является дискретная логи­ ской минимизации, поэтому вы должны
ка, построенная на вентилях и триггерах. п роделать эту трудную работу сам и.
Посмотрим некоторые примеры. Однако PALASM позволяет вам вводить
Карты перемычек, создаваемые вруч­ набор тестовых состояний (называемых
ную. Простые проекты могут конструиро­ тестовыми векторами), дающих возмож­
ваться в ПМЛ посредством изображения ность получить на выходах то, что должно
554 Глава 8

Рис. 8.74. Регистровая ПМЛ 16R8 имеет 8 внешних входов, 8 выходов, линии синхронизации и управле­
ния 3-м состоянием. Выходы регистра можно также использовать как входы матрицы И (с разрешения
фирмы Advanced Micro Devices, Калифорния.).
Цифровые схемы 555

кк к к
О Такт. имп.

к л
"D —
~С г
-D -
>н о о —> о -
>
■D— '

Рис. 8.75. 4-входовый мультиплексор с фиксацией, выполненный на ПМЛ.

быть результатом ваших логических спе­ окончательны й результат получается в


цификаций. форме, которая соответствует логическим
Таким образом вы можете отлаживать ограничениям устройства (т. е. сумма
ваши уравнения перед изготовителем произведений для ПМЛ). Вместо запи­
ПМЛ. PALASM ш ироко используется. си сверху вниз явно заданных логичес­
Существуют листинги исходных текстов ких выражений для ряда значений вам до­
(кодов) на языке Фортран, которые мо­ статочно записать что-то вроде ADDR:
гут затем послать карты перемычек для [10...FF], которое будет преобразовано в
П М Л -программаторов (в стандартном соответствующую логику. Эти языки так­
«JEDEG^-формате) через последователь­ же позволяют вам определять тест-век­
ный порт. Многие ПМЛ-программаторы торы, с помощью которых тестируется
со встроенны м и м и кроп роц ессорам и ваша схема, кроме того, тест-векторы
включают резидентный PALASM такие, могут также посылаться в программатор
например, как у фирм Data I/O , Digiles, для п роверки зап р о гр ам м и р о в ан н о го
Stag, и Structured Resign. Остается только кристалла. Н аконец, эти язы ки позво­
подключить терминал, и вы в работе. ляют получить стандартную документа­
Языки ABEL и C U PL. PA LA SM -no- цию на законченный кристалл, что суще­
мощ ник, но серьезному пользователю ственно, если вы захотите отлаживать
ПМЛ необходимо большее. Языки логи­ приспособление с этими самодельными
ческого п ро гр ам м и рован и я вы сокого устройствами.
уровня подобно языкам ABEL (фирмы С целью конкретизации этих идей, да­
Data I/O) и CUPL (фирмы Logical Devices) вайте рассмотрим оба примера проекти­
делают программирование ПМЛ (и ПЛМ) рования как последовательностных, так и
легкой работой. Они позволяют вам за­ комбинационных схем, используя язы к
давать логику либо через логические бу­ CUPL.
левы уравнения, либо через таблицы ис­ Пример использования языка CUPL для
тинности, для последовательностных схем проектирования преобразователя из 7-сег-
вы задаете состояния и правила перехо­ ментного кода в шестнадцатиричный (ком­
да. Подобно какому-либо хорошему язы ­ бинационная логика). Н аступ ает в р е ­
ку высокого уровня, вы можете опреде­ мя, когда вы захотите использовать жела­
лять массивы (для набора сигналов, т. е. емый БИ С -кристалл, который вы полня­
адресной шины), выражения и промежу­ ет определенную функцию (например,
точные значения, затем использовать их в калькулятор или хронометр) как часть со­
других выражениях. здаваемого вами устройства. Беда в том,
Эти языки достаточно «умны» для пре­ что эти БИ С -кристаллы обычно имеют
образования таблиц истинности в логичес­ вы ходы для п р ям о го у п р ав л ен и я
кие выражения с последующей миними­ 7-сегм ен тн ы м и нди катором , которы й
зацией их (также как и логических булевых предпочтительней, чем шестнадцатирич­
выражений) в логически идентичны е, ные (или двоичны е) выходы, которые
556 Глава 8

вы хотите получить. Давайте спроектиру­


П 1 “ "1 “ 11 1 Г Г 1 ем кристалл-шифратор, который преобра­
II___I
I 1I I L _
I
1 1 ___ 1111 I
1 зует 7-сегментный код обратно в 4-бито­
вый двоичный, такая функция не реали­
зуется как стандартная микросхема (хотя
' Г1^.1
Г М1 П г1 " I I l г Г
1 существует дешифратор из семисегментно­

I
□ .
1 го кода в двоично-десятичный, 74С915).
I “ I L I

1
eU d c - I 1 Входы представляют отдельные сегмен­
тные сигналы, которые всегда помечают­
“ 1

n ся символами а —/ (рис. 8.76). На рис.


1 L
8.76 показано, как цифры представляют­
ся на 7-сегментном индикаторе. Заметь­
Рис. 8.76. Коды 7-сегментного индикатора. те, что возможно двоякое представление
/* * I n p u t s **/ «9» и «С», оба из которых должны кор­
ректно восприниматься вашей логикой.
PIN 1 = a / se g m e n t a */ Для ПМЛ мы выбираем X6L8, 20-контак­
PIN 2 = b / se g m e n t b */ тная комбинационная часть которой ло­
PIN 3 = с / se g m e n t с */ гически была показана на рис. 8.45.
PIN 4 = d / se g m e n t d */ Рис. 8.77 представляет входное описа­
PIN 5 = e / se g m e n t e */ ние на языке CUPL. Здесь сигналы за-
PIN 6 = f / se g m e n t f */
PIN 7 = g / se g m e n t g */
Рис. 8.77. Спецификация преобразователя 7-сегмен-
/* * O u tp u ts **/ тного представления в 16-ричное на языке CUPL.

PIN 19 !D3 / * msb о ix e n c o d e */


PIN 18 !D2 /* */
PIN 17 !D1 /* */
PIN 16 !D0 /* l s b */
/* * D e c l a r a t i o n s a n d I n t e r m e d i a t e V a r i a b l e D e f i n i t i o n s * * /

z e ro = a £ b £ c £ d £ e £ f £ ! g ;
o n e = !a & b & с & !d & !e £ ! f £ !g ;
tw o = a & b & !c & d & e & ! f & g ;
th r e e = a £ b £ c £ d £ ! e & ! f f i g ;
fo u r = ! a fi b fi с fi !d & ! e £ f fi g ;
fiv e = a £ !b & с £ d & !e fi f & g ;
s i x = a fi ! b f i c f i d £ e £ f £ g ;
sev en = a £ b f i c £ ! d £ ! e f i ! f f i ! g ;
e ig h t = a £ b f i c & d & e £ f f i g ;
n in e = a fi b fi с fi !d fi !e £ f f i g
# a f i b f i c f i d f i ! e £ f £ g ; / * tw o w ays * /
hexa = a £ b f i c f i ! d £ e £ f £ g ;
hexb = ! a £ ! b f i c f i d f i e f i f & g ;
h e x c = ! a £ ! b £ ! c £ d £ e fi ! f £ g
# a £ ! b £ ! c & d £ e £ f £ ! g ; / * tw o w ays * /
h ex d = ! a £ b £ c £ d £ e £ ! f £g ;
hexe = a & ! b £ ! c & d £ e £ f f i g ;
hexf = a £ ! b & ! c f i ! d £ e & f f i g ;

/* * L o g ic E q u a tio n s * * /

D3 = e ig h t# n in e # h ex a # hexb # hexc # hexd # h exe # h e x f ;


D2 = fo u r # fiv e # s i x #s e v e n # h e x c # h e x d # h e x e # h e x f ;
D1 = tw o # th re e # s i x #s e v e n # h e x a # h e x b # h e x e # h e x f ;
DO = one # th re e # f i v e # se v e n # n in e # h exb # h ex d # h e x f ;
Цифровые схемы 557

пуска сегментов а — g обозначают входы ** E x p an d e d P r o d u c t T erm s **


(положительная логика), а ш естнадца­
DO =>
тиричные разряды DO —D3 выходы (отри­ a & b & c & d s !e & g
цательная логика). Язык CUPL позволяет I э &b & с S ! e & f S cf
определить промежуточные переменные, # a &с & d 5 ! e £ f £ g
которые могут быть использованы в вы­ # b 6 с £ !d & !e & I f S !g
# !a £ ! b £ c £ d £ e £ f £ g
ражениях позднее. В этом случае удоб­ # a & !b& ! c & ! d & e £ f &g
но определить очевидные переменные от # ! a £ b £ c £ d £ e £ ! f £ g
zero до next через возможные отображе­
ния цифры в терминах сегментных вхо­ D1 =>
дов. Это просто большие термы произ­ a & ! b £ !c & e £ f & g
# ! b £ c £ d £ e £ f £ g
ведений (И) от входных сегментных пе­ # a £b £ с £ ! d £ a & f £ g
ременных, которые вы можете прочесть # a £b £ ! c £ d £ e £ ! f £ g
из и зобр аж ен и й ц и ф р н а ри с. 8.76. # a £ b £ c £ d £ ! e £ ! f £ g
Окончательно каждый двоичный выход­ # a £ b £ с £ !d £ !e £ ! f £ !g
ной бит записывается как сумма (ИЛИ) D2 =>
цифровых переменных, при которых этот a £ ! b £ ! c £ d £ e £ f
бит устанавливается. Мы используем # a £ ! b £ c £ d £ f £ g
уровни отрицательной логики, потому # a £ ! b £ ! c £ e £ f £ g
что 16L8 представляет матрицу И -И Л И - # ! a £ b £ c £ ! d £ ! e £ f £ g
# ! a £ b £ c £ d £ e £ !f£ g
НЕ. Этим заканчивается логическая спе­ # !a £ !b £ !c £ d £ e £ ! f £ g
цификация для языка. # a £ b £ с £ !d £ !e £ ! f £ !g
Упражнение 8.29. Проверьте для себя правильность D3 =>
нашей работы, записав некоторые из отображаемых a £ b £ c £ f £ g
символов, через заданные нами промежуточные пе­ # a £ ! b £ ! c £ d £ e £ f
ременные zero-next. # a £ ' b £ ! c £ e £ f £ g
Язык CUPL сначала использует опре­ # ! a £ ! b £ c £ d £ e £ f £ g
деление промежуточных переменных для # ! a £ b £ c £ d £ e £ ! f £ g
# !a £ !b £ !c £ d £ e £ ! f £ g
записи выражений DO — D3 прямо в тер­
мах входных переменных а —f работа, DO .oe => 1
которая подобно ассемблеру PALASM D l .o e => 1
должна исполняться нами изначально. D2 .o e => 1
В этом случае логические уравнения пред­ D 3 .o e => 1
ставлены в желательной И -И Л И -Н Е фор­ Рис. 8.78. Преобразователь 7-сегментного представ­
ме. Однако мы не закончили на этом, так ления в 16-ричное с минимизированными термами
как 16L8 (и все другие комбинационные произведения.
ПМЛ) допускают не более 7 термов про­
изведений для каждой суммы, тогда как Мы запускаем м и н им изатор C U P L ,
мы имеем 9, 8, 9 и 10 соответственно для которы й вы дает терм ы п рои звед ен и й
выходов D 0 -D 3 . Одним решением мо­ (рис. 8.78). Взгляните, все удовлетворя­
жет быть связывание выхода через вторич­ ет ограничению «7 произведений». CU PL
ный вентиль ИЛИ, для того чтобы полу­ также рисует для вас карту перемычек
чить желательное число термов произве­ (рис. 8.79). Конечно, вы не программи­
дений в сумме. руете ПМЛ так, а используете вместо этого
Эта обычно рассматриваемая форма пло­ прямую загрузку универсального JEDEC
ха, так как она удваивает задержки рас­ программирующего формата. В этом при­
пространения, хотя это не так важно в мере язы к CUPL, очевидно, делает труд­
медленных устройствах, подобных этому. ную задачу простой.
Лучшее решение - произвести логичес­ Пример использования языка CUPL для
кую минимизацию, используя логическую программирования авт омат а-продавца
эквивалентность по формуле Моргана, на­ (последовательная схема). Произвольная
пример. машина состояний (разд. 8.18) имеет на-
558 Глава 8

** F use P l o t ** логических вентилей для реализации пра­


P in #19 вил перехода.
0000 --------------------------------------------- Как пример, давайте спроектируем ре­
0032 x - x - x ------------------ x ---- x ------------------ гистровую ПМЛ для диаграммы состоя­
0064 -x x —x —x x - x ------------------------------ ний на рис. 8.80. Это — торговый авто­
0096 -x x —x-----------x ----------- x ---------x ------
0128 -x - x x ---- x ----- x ----------- x ---------x ------ мат, предназначенный для выдачи бутыл­
0160 x —x x---- x ------x ------ x —x ----------------- ки сладкой ш ипучей ж идкости, когда
0192 - x - x - x — x------x ------ x —x ----------------- опущено 25 цент или более. Существует
0224 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
некоторый вид монетного интерфейса, ко­
P in #18 торый «заглатывает», распознает монету
0256 ------------------------------------------------------ и посылает на наш ПМЛ 2-битовый вход­
0288 -x x —x —x x x ------------------------------
ной сигнал (С1, СО), действительный для
-

0320 -x x - x --- x ------------- x ---- x ------------------


0352 -x x — x -----------x -- x ------x ------------------ одного такта, показы ваю щ его монету,
0384 x —x x------ x ----- x —x ---- x ------------------ которую опустили (01—5 цент, 10—10-цент
0416 x—xx ---- x ------ x------ x —x ----------------- монета, 11—25-цент монета, 00—нет мо­
0448 - x - x - x —x ------x ------ x —x -----------------
0480 x - x - x ------ x -----x --- x -------x --------------- неты). Задача машины состояний добав­
лять к общему вкладу и формировать вы­
P in #17 ход, называемый «бутылка», когда опу­
0 5 1 2 ------------------------------------------------------
0544 -x x —x -----------x -- x ------x ------------------ щено достаточно монет.
0576 - x — x --- x -----x ------------- x ---------x ------ Рис. 8.81 показывает спецификацию ,
0608 x - x - x ------- x —x -- x ------x ------------------ выполненную в синтаксисе машины со­
0640 x -x —x— x x------ x —x ------------------
0672 x - x - x --- x ----- x --------x —x ----------------- стояний языка CUPL. Как и прежде, мы
0704 x - x - x ------ x -----x --- x -------x --------------- начнем с определения входных-выходных
0736 xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx контактов. Заметим, что мы добавили
P in #16
вход reset (сброс) так, чтобы вы могли
0768 ------------------------------------------------------ перейти к начальному состоянию S0 (нет
0800 x - x - x --- x -----x ------------- x ----------------- монеты). Затем мы определим состояния,
0832 x - x - x --------------x —x ---- x ------------------ потом правила перехода между ними. Если
0864 —x - x --- x ----- x —x -------x ------------------
0896 x ---- x ------ x -----x --- x -------x --------------- какие-либо выходы — либо регистровые,
0928 - x - x x --- x -----x ------------- x ---------x ------ либо комбинационные — необходимо ге­
0 9 6 0 - x x — x ------ x — x ---x -------x --------------------- нерировать в течение состояний или пере­
0992 x —xx--- x -----x --------x —x -----------------
ходов между состояниями, они определя­
Обозначения ются в то же самое время. В этом примере
X : перемычка не удаляется
- : перемычка удаляется

Рис. 8.79. Карта перемычек преобразователя 7-сег-


ментного представления в 16-ричное.

бор состояний и правил перехода для дви­


жения между этими состояниями по каж­
дому фронту синхроимпульса. Правила
перехода зависят от текущего состояния
и от частной комбинации входных уров­
ней, существующих на следующем фрон­
те. Вы можете соорудить машину состоя­
ний на программируемой логике, содер­
жащей регистры , если а) сущ ествует
достаточное число битов в регистре для
представления всех возможных состояний
(например, с 4-разрядным регистром вы
можете иметь до 16 состояний) и б) су­
ществует достаточное число входов и
Цифровые схемы 559

/** I n p u ts **/

P in 1 = e lk ; /* c lo c k — p o s i t i v e edge */
P in 3 = cO ; /* c o in ty p e — low b i t */
P in 4 = cl ; /* c o in ty p e —h ig h b i t */
P in 6 = re se t ; /* r e s e t in p u t */
/** O u tp u ts **/

P in 18 = !Q0 ; /* b i t 0 o f s t a te v a ria b le */
P in 17 = !Q1 ; /* b it 1 */
P in 16 = !Q2 ; /* b it 2 */
P in 15 = !b o t t l e ; /* b o t t l e d is g o r g e command */

/* D e fin e m achine s t a t e s w ith sy m b o lic nam es;


"enough" = 25 c e n t s o r more * /

$ d e fin e SO 'b '0 0 0


$d e f i n e S5 ' b ' 001
$ d e fin e S10 ' b ' 010
$d e f i n e S15 'b '0 1 1
$d e f i n e S20 'b '1 0 0
$ d e fin e ENOUGH ’b ' 101

/* d e f in e in t e r m e d ia t e v a r i a b l e s * /

nocoin = !c0 £ »cl & !r e s e t ;


n ic k e l = cO £ !c l £ !r e s e t ;
dime = !c0 £ cl £ !r e s e t ;
q u arter - cO £ cl £ !r e s e t ;

/* D e fin e s t a t e b i t v a r i a b l e f i e l d * /

f i e l d s t a t e b i t - [Q 2 ..0 ] ;

/* T r a n s i ti o n r u l e s f o r v e n d in g m achine * /

seq u en ce s t a t e b i t {
p r e s e n t SO i f n o c o in next SO;
i f n ic k e l next S5;
i f dim e next S10;
i f q u a rte r next ENOUGH out b o ttle ;
p r e s e n t S5 if n o c o in next S5;
if n ic k e l next S10;
if dim e next S15;
if q u a rte r next ENOUGH out b o ttle
p r e s e n t S10 if n o c o in next S10;
if n ic k e l next S15;
if dim e next S20;
if q u a rte r next ENOUGH out b o ttle
p r e s e n t S15 if n o c o in next S I 5;
if n ic k e l next S20;
if dim e next ENOUGH out b o ttle ;
if q u a rte r next ENOUGH out b o ttle ;
p r e s e n t S20 if n o c o in next S20;
if n ic k e l next ENOUGH out b o ttle
if dim e next ENOUGH out b o ttle
if q u a rte r next ENOUGH out b o ttle ;
p r e s e n t ENOUGH n e x t SO; }
Рис. 8.81. Спецификация торгового автомата на языке CUPL.
560 Глава 8

** Expanded P r o d u ct Terms ** ны в виде двоичных чисел, а логика рабо­


QO.d => тает по отдельным битам. Таким образом,
!Q0 £ !Q1 £ cO £ ! r e s e t результирующая логика не сильно связа­
# !Q0 £ !Q2 £ cO 6 ! r e s e t на с первоначальным описанием состоя­
# QO £ !Q2 £ !cO £ ' r e s e t ний (рис. 8.81). Фактически, конкретный
# QO £ !Q2 £ c l £ !r e s e t выбор состояний (возрастающий двоич­
# !Q0£% !Q1 £ Q2 & c l £ !r e s e t
ный код 0—5) мог бы быть различным,
Q l.d => это полностью изменило бы результиру­
!Q1 & !Q2 & !cO & c l £ ! r e s e t ющую логику.
# !Q0 £ Q1 & !Q2 & ! c l £ ' r e s e t В этом случае этот пример легко укла­
# Q1 £ !Q2 & ! cO £ ! c l £ ' r e sдывается
et в ограничениях ПМЛ 16R6 (8
# QO £ !Q1 £ !Q2 £ cO £ ! c l £ ' r e s e t
термов произведений на регистр): если это
Q 2.d => не так, мы могли бы попытаться переоп­
!QO £ !Q1 £ Q2 £ ! r e s e t ределить состояния, что часто приводит к
# Q1 £ !Q2 £ c l £ I r e s e t
более простой логической реализации.
# IQ2 £ cO £ c l £ I r e s e t
# QO £ Q1 £ !Q2 £ cO £ ( r e s e t Заметим, что вход сброса действует, за­
меняя безусловность всех D-входов, ко­
b o t t l e . d => торую мы задали посредством нашего за­
!Q2 £ cO £ c l £ !r e s e t дания промежуточных переменных «нет
# !Q0 £ !Q1 £ Q2 £ cO £ I r e s e t
# !QO £ !Q1 £ Q2 £ c l £ ' r e s e t монеты», «5 цент» и т.д.
# QO £ Q1 £ !Q2 £ c l £ ' r e s e t Упражнение 8.30. Проконтролируйте правильность
s t a t e b i t => конечных логических выражений, посредством про­
верки нескольких правил перехода. Вы должны ис­
Q2 , Q1 , QO пытать все переходы от 00, или от «5 центов», или
«10 центов» к какому-либо другому состоянию.
Рис. 8.82. Выход CUPL для торгового автомата.
Упражнение 8.31. Хорошие торговые автоматы
выход «бутылка» специфицируется как имеют размен. Перерисуйте диаграмму состояний
(рис. 8.80) так, чтобы были состояния (сколько?)
раздельный выходной регистр, т. е. вы­ для каждого возможного числа разменов. Модифи­
ходные состояния дешифрировать не нуж­ цируйте правила перехода соответственно. Гаран­
но. Фактически нужен только выход, а тируйте, чтобы ваш усовершенствованный автомат
биты Q0-2 машины состояний могут быть выполнял свою основную работу - выдачу бутылок.
представлены во внутренних регистрах, Упражнение 8.32. Нарисуйте диаграмму состояний
которые не генерируют прямо выводы; и правил перехода для электронного комбинацион­
некоторые программируемые логические ного замка. Он должен открываться только тогда,
устройства имеют также внутренние ре­ когда четыре цифры набраны в правильном поряд­
ке. Какая-либо ошибка должна сбрасывать его.
гистры в дополнение к обычным выход­
ным регистрам.
Заметим, что вы должны определить Возможные применения
точно переход из некоторого состояния программируемых логических
интегральных схем (ПЛИС)
в себя, так же как мы делали для входа
«нет монеты». Неопределенное условие Д ля вы п олн ен и я некоторы х ф ун кц ий
безусловно сбрасывает состояние во все ПЛИС подходит как нельзя лучше. Вот
нули. Это происходит потому, что эти самые важные области применения и пре­
условия собираются в комбинационную имущества ПЛИС:
логику для выставления на D-входы ре­ Автоматы. Как и в предыдущем приме­
гистров, и, таким образом, если условие ре, ПЛИС естественным образом вписы­
не удовлетворяется, то соответствующий вается в произвольный синхронный авто­
D-вход не подтверждается. Рис. 8.82 по­ мат. Было бы неразумно использовать мат­
казывает вывод из языка CUPL. Ничего р и ц у и з D -т р и г ге р о в и д и с к р етн у ю
очевидного или простого в логике, по­ комбинационную логику, когда ПЛИС спо­
скольку и состояние автомата (S0-S5) собна выполнить те же функции в одном
и входящие переменные (СО-1) определе­ недорогом и мощном корпусе.
Цифровые схемы 561

а б
Рис. 8.83. Программируемое логическое устройство (а); обычная дискретная логика (б).

Замена произвольной логики. Во многих Скорость и комплектация. При исполь­


схемах вы можете обнаружить узлы и клуб­ зовании ПЛИС проектирование схемы в
ки из вентилей, инверторов и триггеров, общем случае можно выполнить гораздо
называемых с пренебрежением «произ­ быстрее (если вы, разумеется, хорошо
вольная логика» или «клей». ПЛИС обыч­ ориентируетесь в этой области). Более
но сокращает число корпусов в 4 и более того, вам потребуется всего несколько
раз. К роме того, при и сп ользован и и типов ПЛИС, а не несколько дюжин ти­
ПЛИС схема становится более понятной, пов стандартных схем средней интегра­
поскольку применение большого числа ции. Д ействительно, всего две новые
вентилей означает, что всю необходимую ПЛИС GAL (базовая матричная логика)
вентильную обработку можно сделать при благодаря программируемости своей внут­
вводе в регистры (при этом выходы ста­ ренней архитектуры (и связей) заменят
новятся строго синхронны м и) вместо целый набор ПМЛ. В частности, 20-вы-
того, чтобы комбинировать выходы реги­ водная GAL16V8 и 24-выводная GAL20V8
стра с вентилями (при этом выходы не каждая может имитировать по 21 стандар­
будут строго синхронными); см. рис. 8.83. тных ПМЛ. Более того, их можно зап­
Гибкость. В ряде случаев вы не совсем рограммировать как «помесь» ПМЛ (на­
представляете себе, как, в конце концов, пример нечетное число регистров).
должна работать ваша схема, тем не ме­ Расширение функций П М Л. Входы/выхо­
нее вы должны как-то ее завершить, что­ ды. Выводы выходов с 3 состояниями, под­
бы иметь возможность с ней «поиграть». ключенные внутри кристалла к входам
Здесь ПЛИС как раз к месту, так как в матрицы И, можно использовать в каче­
отличие от дискретной логики на некото­ стве входов. Например, ПМЛ 16L8, по­
ром этапе вы имеете возможность заме­ казанная на рис. 8.45, имеет 16 входов
нить одну на другую без перемонтажа. (прямых и инверсных) на матрицу И; 10.
Схемы на ПЛИС приобретают характер из них обозначены как входные выводы, а
программ. 6 являются цепями обратной связи с вы­
Несколько версий. С помощью ПЛИС ходов с 3 состояниями. Эти 6 выводов
можно спроектировать одну-единственную можно превратить в «перманентные» вхо­
схему и затем изготавливать несколько раз­ ды путем блокировки соответствующего
личных версий устройства, выпуская пла­ выхода (подключить пару прямой/инверс­
ты с различно запрограммированными ный к управлению И); с другой стороны,
ПЛИС. Например, вы могли бы иметь эти входы могут работать в двух направле­
компьютер, который содержит кристаллы ниях за счет блокировки формирователей
памяти либо на 256К, либо на 1М, при­ с 3 состояниями, соответствующими не­
чем изменения касались бы только ПЛИС. которой логической переменной.
562 Глава 8

Такт. имп. Разреш ение

Рис. 8.84. Программируемая макроячейка базовой матричной логики. ступени

«Супер-ПМЛ», Ранее мы уже отмечали, Фирма Altera имеет линию программи­


что наиболее гибкая программируемая руемой КМ ОП-логики, которая допуска­
логика соответствует оригинальным ПМЛ, ет ультрафиолетовое стирание по типу
среди которых самыми известными явля­ ЭП П ЗУ (в корпусе ИС над кристаллом
ются стираемые КМ ОП-варианты фирм имеется окно из кварцевого стекла). Та­
Lattice, VTI, Altera и др. кие устройства называют С П Л И С — сти­
ПМЛ GAL фирмы Lattice, например, раемая программируемая логическая ин­
использует программируемую логику с тегральная схема. Самая маленькая И С из
электрическим стиранием, так что вы мо­ этой серии (ЕР320) имеет выходные мак­
жете перепрограммировать кристалл. Бо­ роячейки и имитирует все 20-выводные
лее того, выходные структуры («макро­ ПМЛ, точно так же как GAL16V8. Более
ячейки») сами программируемы, — каж­ того, она маломощна в отличие от «про­
дый выход может быть как регистром, так ж орливы х» о р и ги н ал ь н ы х П М Л (см.
и комбинационной схемой с прямым и ниже). Наконец, фирма Altera выпуска­
инверсным выходом. Похожей програм­ ет несколько более крупных СПЛИС, а
мируемостью обладают линия активации также программируемые микросеквенсе­
3-го состояния и линия включения обрат­ ры и т. п. Фирмы Cypress и VTI также
ной связи (последняя может подключать­ выпускают стираемую программируемую
ся до и после буфера с 3 состояниями КМ ОП-логику с макроячейками.
или к соседнему выходу); см. рис. 8.84. Другим подходом к созданию програм­
В результате, вы можете имитировать лю­ мируемой логики является ОЗУ-подобная
бую обычную 20-выводную ПМЛ, исполь­ вентильная матрица фирмы Xilinx. Впе­
зуя только один корпус GAL16V8 (и лю ­ чатляющие кристаллы этой матрицы со­
бую обычную 24-выводную ПМЛ, исполь­ держат огромные блоки конфигурируемой
зуя GAL20Y8). Это позволяет сохранять логики, причем конфигурация связей хра­
перечень комплектующих изделий в уп­ нится во внутреннем ОЗУ кристалла (энер­
равляемых границах. гозависимая память). Эта память загру­
Цифровые схемы 563

жается от внешней памяти после включе­ А В S


ния питания либо от микропроцессора;
кроме того, она может самозагружаться
с использованием памяти типа энергоне­
зависимого ПЗУ.
Скорость и мощность. О ригинальная
ПМЛ на биполярных транзисторах, раз­
работанная на фирме Monolithic Memories
(и быстро скопированная National и AMD),
потребляла значительный ток — около
200 мА для 16L8/16R8 и имела задержку
распространения 40 не. Последующие би­
полярные ПМЛ «половинной мощности»
были вполне приемлемы —90 мА и 35 не.
Однако самые быстрые ПМЛ потребуют
все еще уйму мощности; например, время
распространения ПМЛ 16R8D и 16Р8-7
фирмы AMD составляет соответственно 10
и 7,5 не, но потребляют они 180 мА.
(маке). КМОП-приборы существенно луч­
ше: GAL (GAL20V8-15Q) «1/4 мощности»
фирмы Lattice потребляют 45 мА при за­
держке 15 не, ЕР320-1 фирмы Altera об­
ладают задержкой 25 не при токе 5 мА. Но
что более важно для маломощных устройств,
так это то, что кристаллы фирмы Altera
(а также ПМЛ серии Z фирмы AMD) мо­
гут работать в резервном режиме с «нуле­
вой мощностью». Конструкторы будущих
структур программируемой логики навер­
няка сохранят эту здоровую тенденцию к
повышению скорости и снижению мощ­
6
ности; современная программируемая ло­
гика, этот «пожиратель ватт», начинает
уходить в прошлое!
Выбросы. ПЛИС, конечно, удивитель­
ная вещь, но вы можете ненароком ока­
заться в затруднительном положении, если
не будете помнить о возможности логи­
ческих состязаний. На рис. 8.85 показан
2-входовый мультиплексор, выполненный
самым обычным способом ; показаны
ПМЛ-реализация и эквивалентная схема.
Здесь все выглядит как-будто прекрасно, Рис. 8.85. Исключение глитча в ПМЛ; а - 2-входо­
вый мультиплексор; б — для исключения глитча до­
но схема имеет один изъян: когда оба входа бавляется избыточный терм произведения; в — кар­
(А и В) находятся в состоянии высокого та Карно с избыточным термом.
уровня, а линия ВЫБОР меняет состоя­
ние, на выходе, как показано, возможен одном из входов вентилей И будет низкий
выброс. Это объясняется тем, что внут­ уровень. Избавиться от этого можно, ис­
ренние задержки по цепям S и S ' могут пользовав избыточный терм А * В, кото­
быть не равны, что приведет к появлению рый будет гарантировать отсутствие выб­
переходного состояния, в котором на росов, в чем вы можете легко убедиться.
564 Глава 8

Упражнение 8.33. Покажите, что дополнительный 8.28. Разнообразные


терм устраняет все возможности для появления выб­ последовательностные схемы
росов.
Упражнение 8.34. Какие логические термы следует Появление на рынке большого числа раз­
добавить к 4-входовому мультиплексору (рис. 8.75) нообразных БИ С и С БИ С (имеющих бо­
для устранения выбросов.
лее 1000 вентилей на кристалле) дает воз­
С помощью карт Карно вы можете на­ можность использовать достаточно слож­
глядно убедиться в существовании этих так ные устройства, выполненные на одном
называемых логических рисков; карта Кар­ кристалле. В этом разделе мы приведем
но для 2-входового мультиплексора рис. несколько выборочных примеров.
8.85 а приведена на рис. 8.85 в. Каждая Память типа «первый вошел — первый
группа на карте представляет один терм вышел» (FIFO) в некотором смысле ана­
произведения, который образует вход вен­ логична регистру сдвига, так как инф ор­
тиля ИЛИ. Истинное значение на выходе мация, вводимая на входе, в том же по­
ИЛИ появляется в том случае, когда лю­ рядке появляется на выходе. О днако
бой из термов произведения имеет истин­ между ними есть существенное отличие,
ное значение; но переход между группами которое состоит в том, что в регистре
произведений может приводить к выбро­ сд ви га и н ф о р м ац и я «п ротал ки вается
сам, если переменные первой группы сни­ вдоль него» по мере ввода и тактирова­
маются до того, как будут назначены пе­ ния дополнительных бит, а в запомина­
ременные второй группы. «Противоядие» ющем устройстве типа «первый вошел —
(которое мы использовали и ранее) состоит первый вышел» данные «проваливаются
в добавлении избыточных термов, гаран­ насквозь» и выстраиваются в выходную
тирующих, что любые переходы между очередь с очень малой задержкой. Уп­
логическими «1» будут включены в один равление вводом и выводом производит­
терм произведения. Другими словами, ся раздельными тактовыми последова­
любые единицы, которые лежат в сосед­ тельностями, а устройство помнит, ка­
них рядах или столбцах, будут покрыты кие данные введены и какие выведены.
группой произведения. Это предписание М ожно провести полезную аналогию с
можно дать в обобщенной форме, связан­ кегельбаном, где белые и черные игро­
ной непосредственно с булевым логичес­ вые шары (биты) возвращаются на ис­
ким выражением, а не с картой Карно. ходную позицию. Эти биты вводятся с
Это удобно для логических функций, за­ помощью игральной маш ины, а время,
висящих от более, чем 4-х переменных. которое требуется шару для того, чтобы
В предыдущем примере представлены прокатиться по своему лотку, соответ­
так называемые статические выбросы, ствует «задержке при сквозной переда­
поскольку выход будет оставаться стати­ че» в ЗУ типа «первый вошел — первый
ческим. Существуют также динамические вышел» (обычно 1—25 мкс). После этого
выбросы, проявление которых заключа­ биты приходят на выход и могут заби­
ется в том, что выход, который должен раться пользователем по мере надобнос­
сделать один-единственный переход, на­ ти (т. е. асинхронно). Память типа «пер­
чинает многократно переходить из состо­ вый вошел —первый вышел» полезно ис­
яния в состояние. При использовании пользовать для буферизации асинхронных
программируемой логики можно избежать данных. Классическим примером явля­
этих внутренн и х гон очн ы х усл ови й . ется буферирование клавиатуры (или дру­
В общем средства проектирования, такие гого входного устройства, такого, как
как PALASM, ABEL и CUPL, не пытают­ магнитная лента) ЭВМ или другого уст­
ся решать эти проблемы. Если хотите, они ройства обработки данных. При исполь­
делают все, чтобы ухудшить ситуацию, зовании этого метода данные не будут
поскольку их логические оптимизаторы теряться в том случае, когда ЭВМ не
усердно работают по исключению таких готова принять очередное выработанное
избыточных термов. слою — при условии, конечно, что ЗУ не
Цифровые схемы 565

заполнено целиком. Примерами типичных кристалле. Они включают цепи аналого-


устройств памяти являются 74F433 (ТТЛ, цифрового преобразователя и необхо­
64 слова по 4 бит каждое, 10 МГц, вре­ димой синхронизации, схемы счета и уп­
мя «пролета» 4 мкс) и IDT7202 (КМОП, равления дисплеем. П римерами таких
4096 х 9,15 МГц, нулевое время «пролета»). устройств являются маломощный 3,5-раз-
Память типа «первый вошел—первый рядный АЦП ICL7136 и 4,5-разрядный
вышел» не применяется, если устройство, АЦП ICL7129; оба используют жидкокри­
которому вы посылаете данные, может сталлический семисегментный индикатор
всегда получить их до поступления следу­ и работают от одной батареи 9 В.
ющих данных. На компьютерном языке - Схемы специального назначения. Суще­
вы должны гарантировать, что максималь­ ствуют прекрасные наборы БИ С кристал­
ная латентность меньше, чем минималь­ лов для областей, подобных радиосвязи
ное время между словами данных (время (наприм ер си нтезаторы частоты ), для
скрытости данных). Заметим, что память цифровой обработки сигналов (умножи­
типа «первый вошел—первый вышел» не тели/накопители, цифровые фильтры),
будет полезна, если получатель данных не корреляторы , арифметические устрой­
готов (не способен) в среднем воспри­ ства), передачи данных (универсальных),
нять приходящие данные. асинхронные приемопередатчики, моде­
Умножитель частоты используется для мы, сетевые интерфейсы, И С кристалло-
генерации последовательности выходных графирования (декристаллографирования
импульсов с частотой, значение которой данных, преобразователи последователь­
связано с тактовой частотой через рацио­ ных форматов). Часто эти кристаллы ис­
нальную дробь. Например, 3-декадный пользуются совместно с устройствами на
двоично-десятичный умножитель позво­ базе микропроцессоров и многие из них
ляет получать на выходе частоты, состав­ не могут работать в одиночку.
ляющие п п п /1000 от входной частоты; Кристаллы для бытового применения.
ппп — трехзарядное десятичное число, П олупроводниковая пром ы ш ленность
заданное тремя входными двоично-деся- любит разрабатывать И С для использова­
тичными знакам и. Это не то же, что ния их в изделиях большого рынка. Вы
счетчик по модулю п, так как с его по­ можете получить однокристальные схемы
мощью на выходе нельзя получить час­ для изготовления цифровых (или «анало­
тоту, равную 3/10 входной. О тметим говых») часов, таймеров, замков, каль­
один важный момент: импульсы на вы­ куляторов, детекторов дыма, телефонных
ходе умножителя в общем случае не бу­ аппаратов, синтезаторов музыки, генера­
дут следовать равномерно. Они совпада­ торов ритма и аккомпанемента и т. д. Что
ют с входными тактовыми импульсами и касается радиоприемников, телевизоров,
образуют чудные комбинации, средняя компакт-дисков, то сейчас в этом отно­
частота которых задается предваритель­ шении дело обстоит хуже из-за большой
но. Примерами устройств являются '97 степени интеграции. Синтез речи (и осо­
(6-битовый, двоичный) и '167 (двоично­ бенно распознание речи) в последнее вре­
десятичный). мя получил некоторое развитие; вот по­
Счетчик частоты. Фирма Intersil имеет чему лифты, автомобили и даже кухон­
хороший набор интегральных счетчиков ные аппараты обращаются теперь к нам
частоты. Они включают средства блоки­ теми голосами, которые мы любим. Судя
ровки входного сигнала для точного оп­ по всему, следующим большим шагом
ределения интервалов, до восьми цифр будет разработка эффективных автомо­
двоично-десятичного счетчика, дисплей­ бильных схем (для выполнения функций
ные формирователи и т. д. Эти кристал­ двигателя, систем предотвращения стол­
лы обычно требуют очень мало внешней кновений и т. п.).
схемотехники. Микропроцессоры. Самым выдающим­
Цифровые вольтметры. Вы можете по­ ся примером «чуда» БИС является микро­
лучить цифровые вольтметры на одном процессор (компьютер на кристалле). На
566 Глава 8

Рис. 8.86. Однокристальный микропроцессор со схемами ввода/вывода.

одной вершине находятся мощные циф ­ кие сопроцессоры) и кристаллы, подобные


ровые приборы, подобные 68020/30 и MicroVAX, которые эмулируют сущест­
80386/486 (32-разрядные быстрые про­ вующие большие компьютеры. На другой
цессоры с предвыборкой команд, виртуаль­ вершине — однокристальные процессоры
ной памятью, мощнейшие арифметичес­ с различными функциями ввода, вывода и

Рис. 8.87. Закон Кремниевой Долины: кривая обучения.


Цифровые схемы 567

памяти, работаю щ ие сам остоятельно. 8.29. Счетчик по модулю п


Например, один из последних образцов,
это TLC S-90 фирмы Toshiba (рис. 8.86), Изображенная на рис. 8.88 схема на каж­
представляющий маломощ ный КМ ОП дые п входных тактовых импульсов выра­
микроконтроллер с 6-канальным 8-раз- батывает один выходной импульс. Зн а­
рядным АЦП, встроенными таймерами, чение п есть 8-разрядное число, которое
ОЗУ и ПЗУ, 20-двунаправленными циф­ вы задаете с помощью двух барабанных
ровыми линиями ввода/вывода, последо­ ш естн ад ц ати ри ч н ы х переклю чателей.
вательным портом и двумя портами для Схемы '163 являются 4-разрядными синх­
управления шаговыми двигателями. Этот ронными суммирующими счетчиками с
прибор больше предназначен для задач синхронной загрузкой (когда вход L D ' —
управления, чем для проведения вычис­ низкий) через .D-входы. Идея состоит в за­
лений. грузке дополнительного кода, счете вверх
Революция в микропроцессорах не про­ до FFr и перезагрузке по следующему так­
ходила в одиночестве, и мы видим удвое­ товому импульсу. Поскольку мы сф ор­
ние компьютерной мощности и размера мировали значение перезагрузки с помо­
памяти (в настоящее время 1 Мбит, срав­ щью источника +5 (с общим заземлен­
ните с 16 Кбит на кристалле на время ным выводом переклю чателя), то эти
написания первого издания этой книги) уровни являются отрицательно-истинны­
каждый год, в то же время цены развива­ ми для отображения набора переключа­
ются драматически (рис. 8.87). Наряду с телей, это означает, что загружаемые зна­
укрупнением и улучшением процессоров чения интерпретируются как истинные
и памяти, последние работы сверхскоро­ положительные, равные дополнительно­
стных приборов и больших параллельных му до 1 значению, установленному на пе­
архитектур обещают более волнующие реключателях.
события в последующие годы. Упражнение 8.35. Путем вычисления истинного
положительного значения, которое будет установ­
НЕКОТОРЫЕ ТИПОВЫЕ лено на переключателях рис. 8.88, докажите истин­
ЦИФРОВЫЕ СХЕМЫ ность последнего утверждения.
Работа схемы совершенно очевидна. Для
Благодаря усилиям полупроводниковой каскадирования синхронных счетчиков вы
промышленности цифровые схемы уди­ соединяете все тактовые входы вместе, за­
вительно легки и приятны. Почти нет тем соединяете выход «максимальный счет»
случаев, когда приходится класть цифро­ каждого счетчика с разрешением следующего
вую схему на «хлебную доску», как это счетчика. Для схемы '163 выход RCO (ripple-
часто происходит с линейными схемами. clock output—выход переполнения ПП) вы­
Вообще говоря, единственными серьезны­ ставляет ВЫСОКИЙ уровень при макси­
ми проблемами являются синхронизация мальном счете, разрешая второму счетчику
и шумы. посредством установления разрешения (ВЫ­
Мы в последующем расскажем об этом. СОКОГО уровня) на входах ENT и ENP
Здесь уместно проиллюстрировать си н ­ (Разр). Таким образом, ИС1 повышает свое
хронизацию на нескольких примерах после­ значение на каждый тактовый импульс, а
довательностных схем. Некоторые из этих ИС2 повышает свой счет на каждый такто­
функций могут быть выполнены с помо­ вый импульс после того, как ИС1 насчита­
щью БИС, однако рассматриваемые реа­ ет значение Fn. Таким образом, два счетчи­
лизации сделаны на хорошем уровне и ка считают, пока не достигнут состояния
позволяют проиллю стрировать, какого FFn, в этой точке входы загрузки L D ' уста­
типа схемы можно строить с помощью навливаются в истинное значение.
имеющихся средств. Это приводит к синхронной предзагрузке
на следующем такте. Здесь мы выбрали
счетчики с синхронной загрузкой для того,
чтобы избежать логических состязаний
568 Глава 8

Ш естнадцатиричные дисковы е
переключатели с инверсными
выходами ЕЕСО 1 7 7 6 -1 2 G
кк +5

МЗР йШ СЗР йШ Длительность


"вы со ко й "ч а сти
тактового.
импульса \

03 0, 0, «о
О _П__П_
Разр 74НС163 ПП Разр 74НС163 ПП

>
ИС1 ИС2
М/М
\ Входная
тактовая
частота/0(л+1)
R ЗАГР ЗАГР
—а—
ППЛЛ
Входная _
тактовая
частота / 0
■Ю
<Г HCD4

Рис. 8.88. Счетчик по модулю п.

(и короткого импульса RCO), которые мо­ мы изобразили временную диаграмму,


гут возникнуть в счетчике с асинхронной показывающую последовательность заг­
загрузкой. К сож алению , счетчик при рузки, которая выполняется при макси­
этом делит на и + 1, а не на п. мальном счете.
Упражнение 8.36. Объясните, что произойдет, если Изменение сигнала с НИЗКОГО на ВЫ­
счетчик с асинхронной загрузкой (например '191) СОКИЙ уровень на каком-либо выходе
заменить на счетчик с синхронной загрузкой '163. Q следует за положительным фронтом так­
Покажите, в частности, как могут образовываться
короткие импульсы. Покажите также, что преды­
тового сигнала максимум через 34 не. Это
дущая схема делит на п + 1, в то время как при интересно (но не относится к делу), что
асинхронной загрузке будет осуществляться деление загружаемая последовательность использу­
на я (если схема вообще будет работать). ет выход RCO (переноса); сигнал RCO
Временная диаграмма. До какой макси­ следует за положительным фронтом так­
мальной частоты может считать наш счет­ тового импульса, что при максимальной
чик? Схема 74НС163 имеет гарантирован­ частоте счета составляет максимум 35 не.
ную максимальную частоту счета / макс Сигнал переноса RCO ИС2 появляется
27 МГц. Однако в нашей схеме существу­ после наличия входного разрешения (при
ют дополнительные временные задержки, условии, конечно, что это происходит при
связанны е с каскадны м соеди н ен ием м аксим альном счете) максимум через
(ИС2 должна «узнавать», что ИС1 уже 32 не. Схема 74НС04 добавляет задержку
достигла максимального счета за время максимум в 19 не для генерации сигнала
до следующего тактового импульса), и с ЗАГРУЗКА' (LD '), которая должна пред­
соединением «загрузка при переполне­ шествовать сигналу такта (/*, ) как ми­
нии». Для изображения максимальной ча­ нимум на 30 не. Что приводит нас к сле­
стоты, при которой гарантируется работа дующему тактовому импульсу; таким об­
схемы, мы должны добавить задержку для разом 1 // = (35 + 32 + 19 + 30) не, или
наихудшего случая и быть уверенными, /J макс = 8,б ’
> МГц. Что значительно мень-
что остается достаточно времени на пере­ ше, чем максимально гарантируемая час-
установку. Посмотрите на рис. 8.89, где тота счета одного 74НС163.
Цифровые схемы 569

Рис. 8.90. Временная даграмма


счетчика по модулю я и расчет мак­
симальной скорости работы

не, макс.
ф от CLK до Q 34
© от CLK до RC0 35
числа последовательно и бы стро вос­
© от ЕNT до RC0 32 производится на 7-сегментных светодиод­
© от А до Y ('0 4 ) 19 ных индикаторах. (Могут, конечно, ис­
© от установки LD' до 30 (м и н.)
CLK пользоваться не только цифровые симво­
лы, и конструкция индикаторов может
отличаться от распространенной 7-сегмен-
тной организации). Коммутация индика­
Упражнение 8.37. Покажите, проведя подобное торов применяется для экономии и упро­
вычисление, что два синхронных каскадно соединен­ щ ения: непреры вное воспроизведение
ных счетчика 74НС163 (без загрузки при переполне­
нии) имеют максимальную частоту счета 15,4 МГц. каждого знака требует установки для каж­
дой цифры индивидуальных дешифрато­
Конечно, если вам необходима более ров, формирователей и токоограничива­
высокая скорость, вы можете использо­ ющих резисторов, а также индивидуаль­
вать более быструю логику. П роделав ных связей между каждым регистром и
те же самые вычисления для логики 74F соответствующим дешифратором (4 л и ­
(для которой максимальная частота счета нии) и между каждым формирователем и
одного счетчика 74F163 составляет соответствующим индикатором (7 прово­
100 МГц), мы находим / мж = 29 МГц. дов); жуткая путаница!
Нужно отметить устройство 'НС40103 В методе мультиплексирования требу­
при рассмотрении счетчиков по модулю ется лиш ь один деш ифратор/формирова­
п, которое представляет собой 8-разряд- тель и один набор токоограничивающих
ный синхронный вычитающий счетчик с резисторов. Кроме того, так как свето­
параллельной загрузкой (синхронной или диодные цифровые индикаторы выпуска­
асинхронной), с дешифрацией нулевого ются в виде «-символьных галет, причем
состояния и входом сброса в максималь­ соответствующие сегменты всех символов
ное состояние. Этот счетчик имеет близ­ объединены, количество взаимных соеди­
кого родственника 'НС40102, идентич­ н ен и й с о к р а щ а е т с я д о в о л ь н о су щ е­
ного, за исключением его «организации», ственно. Так, 8-знаковый индикатор тре­
сдвоенному двоично-десятичному. бует 15 соединений при использовании
этого метода (7 сегментных входов, об­
8.30. Мультиплексируемый цифровой щие для всех цифр, плюс один катод или
индикатор на светодиодах анод в цепи возврата каждой цифры), при
непрерывном же воспроизведении их по­
Этот пример иллюстрирует метод муль­ требуется 57. Еще одно любопытное пре­
типлексного отображения, который за­ имущество этого метода состоит в том, что
ключается в том, что п цифр каждого субъективно восп ри н и м аем ая глазом,
570 Глава 8

4-знаковая галета СИД Все резисторы по 3 30 Ом


цифрового индикатора (средний ток 5 мА)

5082 7404 а Дешифратор


- С И ь k (преобразователь)
двоично-десятич- 3
1 2 3 4 -C Z 3 - с ного кода в LT|0“ +
" 7-сегментный 4
- с = ь е BI к>- +
4511 5
Катод Катод Катод Катод ?/ if
1 2 3 4 - с п ь

3 4069

220 кОм ОД мкФ I


^4069 V i4

1 1
4503 45ol 4503
10 10 10
СЗР СЗР СЗР
ИС, ИС, ИС,

сзц
Рис. 8.90. Коммутируемый цифровой индикатор для четырех знаков. Числа с внешней стороны графических
обозначений соответствуют номерам контактов ИС.

яркость будет в этом случае выше, чем при ваемом примере через КМ ОП-буферы с
непрерывном свечении всех цифр при той тремя состояниями 4503), дешифрируется
же средней яркости. и отображается на индикаторе (схема 4511
На рис. 8.90 изображена п р и н ц и п и ­ представляет дешифратор двоично-деся­
альная схема индикации. Цифры, кото­ тичного кода в 7-сегментный с формиро­
рые должны быть воспроизведены на ин­ вателем сигналов управления цифровым
дикаторе, хранятся в регистрах ИС1—ИС4. индикатором).
Вместо регистров можно использовать В этой схеме два инвертора использу­
счетчики, если устройство представляет ются для получения классического КМОП-
собой счетный частотомер или набор за­ генератора, работающего на частоте 1 кГц
щелок (триггеров), получающих данные и подающего сигналы на 8-разрядны й
от компьютера или выхода АЦП и т. п. счетчик-дешифратор 4022. Каждый вы­
В этом случае при данном методе каждая ход счетчика последовательно устанавли­
цифра последовательно вводится на внут­ вается в состояние ВЫСОКОГО уровня и
реннюю 4-разрядную шину (в рассматри­ выводит на шину очередную цифру. Од­
Цифровые схемы 571

новременно он запитывает катод соответ­ ное десятичное число, которое устанав­


ствующего индикатора, подавая на него ливается на передней панели с помощью
НИЗКИЙ уровень через мощный буфер двоично-десятичны х барабанных пере­
с открытым коллектором 40107. Счетчик ключателей.
4022 циклически проходит состояние от Выходная частота умножителя будет
О до 3, а при достижении числа 4 каждый порядка 600 кГц, поскольку входная час­
раз сбрасывается. Мультиплексируемая т о т а ^ формируется стабильным кварце­
индикация может работать и при большем вым генератором и равна точно 1 МГц.
количестве цифр. Она повсюду исполь­ На выходе умножителя частота делится на
зуется в многоцифровых индикаторах на 104 посредством четырех декадных счет­
светодиодах. П опы тайтесь посмотреть чиков, причем последний счетчик выпол­
вокруг —перед вашими глазами море цифр нен в виде делителя на 5, а после него
и знаков. устрановлен делитель на 2, служащий для
Многие БИС, ориентированные на вос­ получения симметричных импульсов с
произведение информации, как, напри­ частотой 60 Гц. Для стабилизации амп­
мер, счетчики, реле времени и часы, со­ литуды прямоугольной формы выходной
держат встроенную схему коммутации сигнал поступает на ограничитель, выпол­
индикатора и даже формирователи. Бо­ ненный на стабилитроне, а затем с помо­
лее того, существуют БИ С управления щью 6-звенного НЧ-фильтра Баттерворта
индикацией (например, 74С922 и 74С912); с частотой среза f 0, равной 90 Гц, преоб­
они проделывают всю ту работу, которая разуется в хороший синусоидальный сиг­
раньше выполнялась с помощью ИМ С нал. (Можно считать, что фильтр «вычи­
средней степени интеграции. щает» из прямоугольного сигнала высшие
гармонические составляющие, или «обер­
8.31. Привод звездного телескопа тоны».) Далее, с помощью усилителя с
«перекомпенсацией», рассмотренного в
Схема, изображенная на рис. 8.91, была разд. 4.35, вырабатывается переменное
спроектирована для управления приводом н ап ряж ени е 115 В. Выходной сигнал
Гарвардского 62-дюймового оптического фильтра на экране осциллографа выгля­
телескопа. Для питания экваториального дит идеальным, так как 6-звенный фильтр
привода двигателя (совершающего 1 обо­ Баттерворта позволяет в данной схеме
рот в день) требуется источник электро­ снизить наибольшую гармонику до 1,5%
энергии переменного тока, частота кото­ от значения амплитуды нефильтрованно­
рого должна устанавливаться равной лю ­ го сигнала, что означает затухание более
бому значению около 60 Гц (скажем, от чем на 35 дБ. Заметим, что данный ме­
55 до 65 Гц). Эта частота не может точно тод формирования синусоидальных ко­
равняться 60 Гц по следующим причи­ лебаний удобен лиш ь тогда, когда часто­
нам: а) звезды и Солнце движутся с раз­ та входного сигнала ограничена узким
ной скоростью, поэтому потребуется час­ диапазоном.
тота порядка 60,1643 Гц; б) проходя на­ Входы управления +10% позволяют из­
клонно через атмосферу, звездный свет менять частоту выходного синусоидально­
претерпевает рефракцию; это преломле­ го сигнала на 10% за счет того, что коэф ­
ние зависит от зенитного узла и, следова­ фициент деления третьего делителя уста­
тельно, видимое движение будет проис­ навливается равным 9 или 11. Эта ступень
ходить с неравномерной скоростью; в) представляет собой делитель по модулю
иногда может возникнуть желание взгля­ п, изображенный на рис. 8.88.
нуть на Луну, планеты или кометы, кото­
рые движутся с неодинаковыми скорос­ 8.32. Генератор последовательности
тями. Было решено использовать 5-знач­ из я импульсов
ный дискретный умножитель частоты для
получения выходных импульсов с часто­ Г ен ер ато р п о с л е д о в а те л ь н о с ти из я
той следования/вхи /105, где п — пятизнач­ импульсов представляет собой ш ироко
Рис. 8.91. Прецизионный формирователь сигнала переменного тока частотой 60 Гц. Выходная частота равна хх.ххх. Для задания звездной
скорости ключи устанавливаются на значение 60 165.
Ген. 1 1
о►2 'НС390 г 'НС390 ►- 'НС390
10 МГц ►- 'НС390

10 МГц 1 МГц < 100 кГц < 10 кГц , 1 кГц


Частота импульсов

Лог. выходы +15В


Лог. выходы от +3 до +15В

14504
LTC1045
Рис. 8.92. Генератор последовательности из п импульсов.
574 Глава 8

используемый небольшой прибор для тес­ для увеличения нагрузочной способнос­


тирования. По внешнему сигналу запуска ти. Схема может обеспечивать по край­
(или используя ручной запуск) он выра­ ней мере ток нагрузки +10 мА при логи­
батывает на выходе пачку из п импульсов ческих уровнях, отличающихся на 0,3 В
с заданной частотой следования, которая от границ напряжения питания. Если вам
может иметь ряд дискретных значений. требуется больш ий выходной ток, вы
На рис. 8.92 показана принципиальная можете зам енить инверторы на схемы
схема генератора. Интегральные схемы АС04, запараллеленная п ара которы х
НС40102 представляю т собой КМ ОП будет давать выходной ток до ± 50 мА
высокоскоростные 2-декадные вычитаю­ при таких же значениях логических уров­
щие счетчики, тактируемые непосредст­ ней.
венно частотой, выбираемой десятичным Мы добавим пару усилителей, изобра­
делителем, подклю ченны м к 10-М Гц женных в прямоугольнике, которые мо­
кварцевому генератору. Счетчики дели­ гут управлять логикой, способной рабо­
теля могут блокироваться либо активным тать при напряжении, отличном от + 5 В:
уровнем на выходе АЗ (асинхронная за­ наприм ер, маломощ ные часто исполь­
грузка), либо пассивным уровнем на входе зуем ы е при п р о е к т и р о в а н и и К М О П
переноса (Вх. пер.). Когда запускающий серии 4000В и 74С работают непосред­
импульс появляется (заметим, что исполь­ ственно от батарей +9 В (они нормально
зуется НСТ-серия на входе для совмес­ работают при напряжении от 3 до 15 В);
тимости с биполярной ТТЛ), триггер-1 НС-логические элементы работают при
выдает разрешение счетчику, а триггер-2 напряжении питания от 2 до 6 В. Было
обеспечивает синхронизацию счета после выдвинуто предложение, чтобы КМ ОП
следующего положительного фронта так­ серия АС оперировала при напряжении
тового импульса. Тактовые импульсы + 3,3 В (JEDEC стандарт N 0 8 ). Интег­
проходят через вентиль И -Н Е -3 до тех ральные схемы 40109, 14504 и LTC1045
пор, пока счетчики не достигнут нуля, являются преобразователями уровней, это
в это время оба триггера сбрасываются в кристаллы с активным выходным каска­
исходное состояние; осуществляется па­ дом, который запитывается от второго
раллельная загрузка в счетчик числа п, источника питания, который может быть
задаваемого двоично-десятичными пере­ выше или ниже напряжения +5 В. Таким
ключателями, запрещается счет, и схема образом, мы получаем чистые логические
готова для другого запуска. Заметим, что уровни КМОП-схем.
использование резисторов, подключенных
к общему проводу, в этой схеме означа­
ет, что должны быть использованы дво­ ПАТОЛОГИЯ В ЛОГИЧЕСКИХ СХЕМАХ
ично-десятичные переключатели в пря­
мом коде (предпочтительнее, чем в до­ Существуют интересные, а иногда и про­
полнительном). Отметим также, что вход сто забавные ловушки, подстерегающие
ручного запуска должен иметь защиту от ничего не подозревающего разработчика
дребезга, так как он тактирует триггер. цифровых схем. Некоторые из них, такие
Защ ита от дребезга не требуется для как логические гонки и тупиковые ситуа­
переключателя режимов, который просто ции, могут возникать независимо от типа
разреш ает ф о р м и р о в ан и е н а выходе используемых логических схем. Другие
непрерывной последовательности импуль­ (как, наприм ер, эф ф ект тиристорного
сов. включения в кристаллах КМ ОП) пред­
Выходной каскад обеспечивает две пары ставляют собой «генетические аномалии»
прямых и инвертированны х(сигналов. того или и ного сем ейства. Н иж е мы
Запараллеленные инверторы НС04 вы­ попытаемся обобщ ить наш печальный
дают обычный логический размах +5В опыт и надеемся, что приведенные анек­
благодаря использованию технологии доты помогут избежать другим тех же
КМ ОП. Мы запараллелили инверторы ошибок.
Цифровые схемы 575

8.33. Проблемы статических режимов вещи. Иа рис. 8.93 показана подходящая


схема. Последовательно включенный со
«Тупиковое состояние». Легко попасть в входом вентиля резистор необходим при
ловушку, построив схему, имеющую «мер­ использовании КМ ОП-схем, что позво­
твое» состояние. Допустим, имеется ка- ляет избежать повреждения схемы при
кое-то устройство с рядом триггеров, ко­ отключении питания, так как в против­
торые в процессе работы проходят через ном случае электролитический конденса­
заданные состояния. Кажется, что схема тор будет пытаться запитать систему через
действует превосходно, но в один прекрас­ защитный диод входного вентиля КМ ОП.
ный момент она намертво останавливает­ Хорошей идеей является использование
ся. Единственный способ заставить ее триггера Ш митта (4093, 14), благодаря
опять работать - это выключить питание, которому снятие сигнала СБРОС проис­
затем снова его включить. Такая ситуа­ ходит чисто. Символ гистерезиса на ри­
ция из-за того, что схема имела «мерт­ сунке означает, что на входе инвертора
вое» состояние (запрещенное состояние установлен триггер Ш митта, собранный,
системы, которого не удалось избежать), например, на ТТЛ 74LS14 (6 инверторов),
в которое она и угодила под воздействи­ либо КМ ОП 40106, либо 74С14.
ем каких-то переходных помех по цепи
питания. При разработке цифровых схем 8.34. Проблемы при переключениях
очень важно выявить подобные состояния
и строить логику таким образом, чтобы Логические гонки. Здесь скрываются мно­
схема могла автоматически восстанавли­ гие коварны е ловуш ки. К лассический
ваться. Как минимум, должен быть пре­ случай логических гонок был описан в
дусмотрен сигнал начальной установки разд. 8.19 на примере синхронизатора
(вырабатываемый от кнопки, при вклю­ импульсов. В любом случае, когда вен­
чении питания и т. д.), который мог бы тили управляются сигналами от триггеров,
возвращать систему в нормальное состоя­ необходимо убедиться в том, что в схеме
ние. При наличии такого сигнала ника­ не может возникнуть ситуация, в кото­
ких других мер может и не потребоваться рой к моменту тактирования триггера
(см. упражнение 8.24). вентиль открывается, а по истечении за­
Начальная установка. Этот вопрос воз­ держки на триггере закрывается. С игна­
никает при определении состояния сис­ лы, возникаю щие на входах триггеров,
темы в начальный момент. В любом слу­ не должны быть задержанными по отно­
чае полезно иметь какой-либо сигнал, шению к тактовым импульсам (еще одно
который обеспечивал бы начальную ус­ преим ущ ество си н хронн ой системы!).
тановку. Иначе при включении питания В общем случае задерживайте такты, но
в системе могут происходить непонятные не информацию. Необычно легко про­
глядеть возможность возникновения ло­
гических гонок.
Метастабильные состояния. К ак уже
отмечалось, триггер и любое тактируемое
устройство могут сбиться, если измене­
ние сигналов на информационных входах
произойдет менее чем за время /уст до
в о зн и к н о в е н и я так то в о го и м п ульса.
В худшем случае выход триггера будет
буквально совершать колебания в окрест­
н ости л о ги ч еск о го п орога в теч ен и е
нескольких микросекунд (для сравнения:
нормальная величина задержки распрост­
Рис. 8.93, Схема для формирования сигнала сброса ранения элементов ТТЛ составляет 20 не).
при включении питания. Разработчики логических схем это обычно
576 Глава 8

Рис. 8.94. Временной сдвиг из-за завала тактовых импульсов.

не принимают во внимание, но подобная микросхем рядом, тем самым избегать


проблема может возникнуть в быстро­ большой емкостной нагрузки по такто­
действующих системах, когда потребует­ вым входам.
ся синхронизовать асинхронные сигналы. Говоря в общем, тактовые входы ка-
Такую ситуацию считают виновной во ких-либо цифровых микросхем должны
многих таинственных сбоях ЭВМ, но мы всегда тщ ательно обрабатываться. Н а­
смотрим на это предположение ск еп ­ пример, тактовые линии с шумом или
тически. В этом случае требуется лишь «звоном» должны всегда очищаться с по­
установить цепочку синхронизаторов или мощью вентиля (возможно, с входным
«детектор метастабильных состояний», гистерезисом) до подачи на синхрони­
который будет сбрасывать триггер. зируем ы й кристалл. У вас, вероятн о,
Скос фронтов тактовых импульсов. Скос возникали проблемы с линиям и синхро­
фронтов тактовых импульсов оказывает низации, которые поступали с другой
большее влияние на схемы КМ ОП, чем платы или с другого логического семей­
на ТТЛ. Такая проблема возникает в том ства. Например, медленная логика 4000 В
случае, когда для тактирования несколь­ или 74С, питающая быстрые семейства
ких соединенных между собой устройств НС или АС, наверняка вызовет пробле­
используется сигнал с большим временем мы перекоса импульсов или кратных пе­
нарастания (рис. 8.94). В рассматривае­ реходов.
мом примере два регистра сдвига такти­ Укороченные импульсы. В разд. 8.29 при
руются фронтом с большим временем рассмотрении счетчиков по модулю п мы
нарастания. Это время обусловлено ем­ отмечали, что в том случае, когда счет­
костной нагрузкой выхода КМ ОП, кото­ чики должны сбрасываться собственным
рый имеет относительно высокий импе­ входным сигналом, необходимо ввести
данс (порядка 500 Ом при работе от задержку для того, чтобы предотвратить
источника +5 В). Проблема возникает появление укороченного импульса. То же
из-за того, что порог срабаты вания у самое относится и к импульсам записи в
первого регистра может оказаться ниже, счетчики или регистры сдвига. Укорочен­
чем у второго, в результате чего его сдвиг ные импульсы часто доставляют непри­
произойдет раньше и последний бит пер­ ятности, приводят схему к работе на гра­
вого регистра будет потерян. Дело еще нице устойчивости и вызывают периоди­
осложняется тем, что значения порого­ ч еск и е сбои . П ри р азр а б о тк е схем ы
вых напряжений для устройств на КМ ОП следует исходить из наихудшего значения
колеблются в очень широком диапазоне для задержки.
(фактически они могут принимать любое Неопределенные правила. Когда полу­
значение в пределах от 1/3 до 2/3 Ucc и проводниковая промышленность нащупы­
они принимают!). В подобной ситуации вала свой путь, начиная с простейших
самое лучшее — это располагать корпуса схем резисторно-транзисторной логики
Цифровые схемы 577

1960 г., затем ТТЛ- и ТТЛШ -семейства, пока логические семейства, вы пускав­
до высокопроизводительных современных шиеся ранее 1980 г., не были обойдены
КМОП-семейств, было недопонято зна­ улучшенными ТТЛШ и быстрыми КМ О П -
чение стандартизации выводов, специфи­ семействами. Если вы проектируете с
каций и функциональности. К ак пример, применением более ранней логики (на­
схема 7400 (И -Н Е) имела свои выводы пример 74С), наш совет —быть консерва­
вентилей, а схема 7401 (И Л И -HE с откры­ тивным; например, предполагайте, что
тым коллектором) имела отличные от нее время перемены такое же, как время ус­
расположения выводов вентилей. Это тановки данных, хотя обычно оно мень­
создавало такую путаницу, что побудило ше. Так, например, у /^-триггера 74НС74
выпустить «мутант» —схему 7403, которая минимальное время распространения оп­
представляет собой схему 7401, но с раз­ ределяется в 5 не, в то время как мини­
водкой вентилей, как у 7400. Подобное мальное время установки данных состав­
недоразумение случилось со схемой 7490 ляет 20 не.
(двоично-десятичный счетчик) с распо­
ложением контактов питания по середи­
не корпуса, а не в углах. (К ак ни смеш­ 8.35. Прирожденные недостатки
но, но контакты питания, расположен­ ТТЛ и КМОП
ные посредине корпуса, «возвратились» в
быстродействующих схемах КМ ОП, для В этом разделе в одной его части мы рас­
уменьшения индуктивности и улучшения смотрим проблемы, причиняю щ ие н е­
изоляции.) удобства разработчику, а в другой — по-
Важным наследством этой ранней анар­ настоящему ненормальное поведение ло­
хии является «всякая всячина» неопреде­ гических элементов.
ленных правил, которых мы придержива­ Проблемы, причиняющие неудобства.
емся. Например, популярный 74Z)-триг­ Биполярные ТТЛ-элементы. Не следует за­
гер существует в каждом логическом се­ бывать, что при низком уровне входы ТТЛ
мействе; подача одновременно сигналов действуют как источник тока (например,
установки и сброса приводит к высокому 0,25 мА для LS, 0,5 мА для F). Это зат­
уровню на обоих выходах в каждом се­ рудняет использование RC-цепочек в ка­
мействе, кроме 74С, где это приводит честве элементов задержки и подобных
к низкому уровню на выходах! Это не элементов, так как они в этом случае дол­
совсем неопределенное правило, посколь­ жны иметь низкое сопротивление и вам
ку, если вы внимательно посмотрите в хо­ необходимо серьезно подумать, когда вы
рошую документацию, то найдете непос­ сопрягаете сигналы линейных устройств
ледовательность. На техническом жарго­ с ТТЛ-входами.
не это называю т «Попался!» (gotcha). Значение порога у элементов ТТЛ (и
Другим любимым нашим примером это­ серий, которые их имитируют — НСТ и
го «попался!» является схема 96: 5-раз- ACT) близко к уровню земли, вследствие
рядный сдвиговый регистр с хитрыми чего все это логическое семейство в изве­
входами загрузки; они могут устанавли­ стной степени подвержено влиянию по­
ваться, но не сбрасываться. Подлинным мех (более подробно см. гл. 9). Т ак как
неопределенным правилом, а фактичес­ эти логические семейства являются быст­
ки очень важным является «время пере­ родействующими, они воспринимают к о ­
мены». Это такое количество времени, роткие всплески по шине земли. Такие
которое вы должны ожидать после сн я­ всплески часто возникают при быстрой
тия асинхронного входного сигнала для смене состояний на выходах, что еще бо­
полной гарантии синхронизации такти­ лее осложняет проблему.
руемого элемента. Биполярные ТТЛ-элементы предъявля­
Проектировщиков кристаллов не беспо­ ют вы соки е треб ован и я к и сточ н и ку
коило это обстоятельство (хотя проек­ питания: + 5 В + 5% при относительно
тировщики схем всегда хотели это знать), высокой мощности рассеяния. Наличие
578 Глава 8

токовых всплесков в ш инах питани я,


которые вырабатываются схемами с актив­
ной нагрузкой, как правило, требует Керамическ.
конденсатор
шунтирования источника питания, в иде­ 0,01 + 0,1 мкФ
альном случае —один конденсатор емко­ с коротк.
выводами *
стью ОД мкФ на каждый корпус ИМ С
Н изкои н-
(рис. 8.96). дуктивн.
КМОП-элементы. Выходы КМ ОП-эле- Короткое
ментов подвержены пробою под действи­ соединение"
ем статического электричества. «Смерт­
ность» у КМ ОП действительно подска­
кивает в зимнее время! Новые семейства Рис. 8.96. Всегда целесообразно использовать
с поликремниевыми затворами [НС(Т), устойчивую низкоиндуктивную разводку земли
АС(Т)] значительно больше страдают, чем и не скупиться на развязывающие конденсаторы.
их предки с металлическими затворами.
Входы КМ ОП имеют очень большой раз­ Интересная врожденная проблема но­
брос по значениям порогового уровня; в вейших быстродействующих К М О П -се­
сочетании с высоким выходным импедан­ мейств, в особенности АС и ACT, состоит
сом (200-500 Ом) это приводит к возник­ в н али чи и «подскока» уровн я зем ли.
новению проблемы скоса фронтов такто­ Бы стродействую щ ий К М О П -эл ем ен т,
вых импульсов (см. разд. 8.34). Когда на работаю щ ий н а ем костную нагрузку,
выходе сигнал медленно нарастает, мо­ генерирует громадные кратковременные
гут возникать двойные переключения на токи по шине земли, приводящие к тому,
выходах. В устройствах на КМ О П все что потенциал на линии земли, подходя­
неиспользованные входы, включая входы щий к корпусу микросхемы, моменталь­
незадействованных вентилей, должны быть но подпрыгивает! В результате этого под­
обязательно соединены с шиной высоко­ прыгивает и низкий уровень на выходах
го или низкого уровня. на том же самом кристалле. На рис. 8.95
п о к азан этот случай. В осо б ен н о сти
заметьте, что амплитуда этого эффекта от
1 до 2 В не является редкостью.
Давайте рассмотрим случай, когда время
переключения составляет 3 не, а перепад
в 5 В прикладывается к емкости 50 пФ.
В результате получаем мгновенное зна­
чение тока / = CdU/dt = 83 мА, а так как
8-разрядный буфер может нагружаться не­
посредственно на такие же восемь нагру­
зок (при общем токе 2/3 А!), такое пове­
дение схемы не является неожиданным.
Эта задача оказывается тяжелее, чем кому-
либо представляется, и приводит к спор­
ному новому набору АС/АСТ-схем с «цен­
тральным» расположением выводов пита­
ния и земли (для снижения индуктивнос­
Время, не ти). На момент написания книги разра­
ботчики логики встали по разные сторо­
ны: по одну — фирма TI, борющаяся за
Рис. 8.95. Буфер 74АС244 на 8 выходов, запускающий
7 нагрузок 50 пФ из «выс.» в «низк», и удерживающий
новую разводку выводов, а по другую —
8-й выход в состоянии «низк.». «Земля» —медная пла­ фирмы RCA и Fairchild, защ ищ ающ ие
та (1 унция/кв. фут). (По рис. 1.1—4 руководства по традиционную разводку по углам. Мы
проектированию улучшенной КМОП-логики.) предостаточно поспорили с обеими сто­
Цифровые схемы 579

ронами и выявили слабости как проти­ придется заменить микросхему. Некото­


воположных аргументов, так и соперни­ рые из новейших КМ ОП-схем (серия НС
чающих кристаллов. с п оли крем н и евы м и затворам и ф ирм
По крайней мере пользователи должны G E/R C A и National) невосприимчивы к
сознавать серьезность этой проблемы и «защелкиванию» даже с входным разма­
принять меры для сохранения индуктив­ хом 5 В выше уровня питания и работают
ности шины земли на низком уровне, при входном размахе 1,5 В выше уровня
насколько это возможно, когда исполь­ питания.
зуется семейство АС/АСТ. Самым луч­ Схема КМ ОП имеет весьма коварные
шим является использование печатных и странные режимы ложной работы. Один
плат с распределенной шиной земли и из выходных полевых транзисторов может
множеством шунтирующих низкоиндук­ открыться, что приводит к кодозависи­
тивных конденсаторов. Лучший способ, мым ложным срабатываниям, которые
если вам не нужна вы сокая скорость очень трудно обнаружить. Вход может
переключения, отказаться от семейства начать работать как источник тока или
АС/АСТ, а использовать взамен серию токовая нагрузка. Весь корпус может
НС/НСТ. начать потреблять от источника питания
Аномальное поведение. Элементы ТТЛ. сущ ественны й ток. Чтобы легче было
С элементам и ТТЛ не связан о такое обнаружить неисправную микросхему,
большое число таинственных явлений, как потребляющую большой ток в статиче­
с КМОП. Однако некоторые одновибра- ском режиме, рекомендуется последова­
торы ТТЛ срабаты ваю т от «глитчей» тельно с контактом Ucc каждой микросхе­
в цепи питания (или земли) и вообще, мы включить резистор 10 Ом (для микро­
как правило, ведут себя в известной сте­ схем , н агруж енн ы х на больш ое ч и с ­
пени «нервно». Кроме того, схема, кото­ ло входов, или мощные драйверы, такие
рая прекрасно работает на LS ТТЛ, мо­ как в серии АС, используйте резистор
жет давать сбои, когда заменяется AS ТТЛ, 1 Ом).
из-за более быстрого переклю чения и Кроме того, кристаллы К М О П дают
увеличения токов потребления по цепям большой разброс по входному порогу, а
земли и окружающим ее (на этот взгляд один и тот же кристалл может иметь не­
серия 74F ТТЛ лучше). В большинстве одинаковые значения порогового уровня
случаев неправильную работу ТТЛ -эле- для различных функций, которые выпол­
ментов можно связать с проблемой по­ няются по одному и тому же входу.
мех. Так, например, в устройстве 4013 выход
Элементы КМОП. К М О П -элем енты Q ’ при управлении по R-входу переходит
способны свести с ума кого угодно! Н а­ в состояние высокого уровня раньше, чем
пример, схема может войти в режим «ти­ выход Q устанавливается в состояние низ­
ристорного защелкивания», если сигнал кого уровня. А это значит, что сигнал ус­
на входе (или выходе) возникает сразу тановки нельзя обрывать по изменению Q
после включения питания. Результирую­ поскольку возникаю щ ий в этом случае
щий ток (50 мА или более) через диоды импульс может не сбросить триггер.
входной защиты включит пару паразит­ Нельзя оставлять входы корпуса КМ ОП
ных перекрестно связанных транзисторов, неподключенными. В этом случае схема
которые являются побочным эффектом время от времени может вести себя н е­
КМ ОП-технологии с изолированным и правильно. Для того чтобы выявить н е­
переходами (см. рис. 3.50 и разд. 14.16). исправность, вы поставили щуп осциллог­
При этом напряжение питания Ucc зако­ рафа в какую-то точку схемы и обнару­
рачивается на землю, кристалл начинает жили там уровень 0 В, как и должно было
греться и для того чтобы схема снова быть. После этого в течение нескольких
начала правильно работать, вам потре­ минут схема работает прекрасно, а затем
буется отключить питание. Если это не снова сбивается! А произош ло вот что:
сделать в течение нескольких секунд, то осциллограф разрядил неподключенный
580 Глава 8

вход, и потребовалось достаточно боль­ нальный ток. Сложность состоит в том,


шое время, чтобы он смог снова зарядить­ что подобная ситуация может давать о себе
ся до порогового уровня. Дальше идет уже знать лиш ь эпизодически, поэтому вам
чистая фантастика: вы забыли подключить придется пробежать не один круг, пока
контактный вывод Ua корпуса КМ ОП, но вы, наконец, додумаетесь, что же в дей­
все-таки схема работает просто идеально! ствительности происходит.
А дело все в том, что она получает пита­
ние по одному из своих логических вхо­ СХЕМЫ, НЕ ТРЕБУЮЩИЕ ПОЯСНЕНИЙ
дов (от входа через защитный диод к цепи
Ucc корпуса). Вы можете не замечать это­ 8.36. Удачные схемы
го в течение довольно длительного вре­
мени, пока не возникает ситуация, когда Рис. 8.97 иллюстрирует ряд полезных при­
одновременно на всех входах корпуса бу­ менений цифровых схем.
дет действовать низкий уровень: кристалл
потеряет питание и «забудет» свое состо­ 8.37. Негодные схемы
яние. В любом случае такой режим не
может считаться нормальным, так как Н а рис. 8.98 показаны примеры клас­
выходной каскад не запитан нужным об­ сических ош ибок, соверш аемых разра­
разом и не в состоянии обеспечить номи­ ботчиками при построении цифровых схем.

УДАЧНЫЕ СХЕМЫ
Рис. 8.97. а —формирователь импульса по
JL переднему фронту; 6 —формирователь им­
Г
пульса по спаду сигнала; в —формирова­
тель импульсов по сбоим фронтам; г - син­
хронный генератор последовательности из
2" импульсов;

JL

J lf

Тактовая последова­
JLTL
тельность системы

л_
-ГиТЛГЦьП
Синхронизирован с
передним фронтом
такта системы
°< |—
Цифровые схемы 581

1
д

4013

2"
Г 1^
Генератор л
, _пл_п_
£ 4047 0

11- с | ^ Выход 1
о а

> ^Выход 2
Вход

Вход 1_J | П Г

Выход 1 п
Выход 2 л _П_
Рис. 8.97. Продолжение.
д - регулируемый формирователь импульса по переднему фронту; е — асинхронный генератор последова­
тельности из 2” импульсов; ж - преобразование квадратурного кода в код реверсивного счетчика; исполь­
зуется для определения положения вращения по выходу преобразователя угла; з — квадратурный генератор
синхроимпульсов.
582 Глава 8

Н ЕГО Д Н Ы Е СХЕМ Ы
+5
Г П 1,0 кОм
п п
г н к СБРОС

£
R
4-знаковый

с н счетчик

4-знаковый
О 0-регистр

4-знаковы й деш иф ратор-


ф ормировать-индикатор

ллллп.

‘Гцтпг п-знаковыи
индикатор

Дешифраторы-
формирователи

Прозрачный
отп регистр

_П _Г > Счетчик на п знаков

д 1,0с Т
Т ХРАНЕНИЕ:
ХРАН £ -ВЫСОКИЙуровень
Входная частота ВВОД/ВЫВОД:£ - НИЗКИЙ уровень

Рис. 8.98. а - формирователь короткого импульса; 6 — хронометр с одиночной кнопкой ПУСК/ОСТА­


НОВ; в - схема для исключения каждого второго импульса из входной последовательности импульсов с
длительностью 1 мкс (тонкий случай); г — ключ с подавителем дребезга; д —счетный частотомер с буфер­
ным регистром.
Цифровые схемы 583

ДОПОЛНИТЕЛЬНЫ Е УП РАЖ Н ЕН ИЯ через некоторое расстояние, он разрывает второй


провод. Не забывайте о проблеме дребезга контак­
(1) Покажите, как построить /А'-триггер с помо­ тов. Считайте, что в вашем распоряжении есть пос­
щью О-триггера и коммутатора-мультиплексора на ледовательность прямоугольных импульсов с часто­
4 входа. Подсказка: используйте адресные входы той 10 МГц с уровнями ТТЛ, и постройте схему для
коммутатора в качестве J w К. воспроизведения на индикаторе интервала времени
(2) Разработайте схему, которая на 7-сегментном между моментами разрыва двух проводов в микро­
индикаторе будет показывать время (в миллисекун­ секундах (4 цифры). Схема должна быть готова к
дах), в течение которого была нажата кнопка. Пос­ очередному выстрелу путем нажатия кнопки.
ле каждого измерения устройство должно возвра­ (11) С помощью двух схем 74HC42-S («1 из 10»)
щаться в исходное положение. Воспользуйтесь ге­ сконструируйте дешифратор «1 из 16». Входной сиг­
нератором 1,0 МГц. нал представляет собой 4-разрядное двоичное чис­
(3) Разработайте измеритель реакции. После того ло. На выходе должны действовать сигналы с отри­
как «А» нажимает кнопку, загорается светодиод и цательной логикой (как у схемы 74НС42). Подсказ­
счетчик начинает отсчет. Когда свою кнопку нажи­ ка: в качестве входа старшего разряда используйте
мает «В», светодиод гаснет, а на цифровом индика­ вход «Разрешение».
торе воспроизводится время в миллисекундах. По­ (12) Представьте, что у вас есть четыре ПЗУ на
заботьтесь о том, чтобы схема работала нормально 256 бит семейства ТТЛ, каждое из которых имеет 8-
даже в том случае, когда «А» успевает отпустить свою разрядный параллельный адресный вход, выходные
кнопку до того, как «В» нажмет свою. схемы с тремя состояниями (в положительной ло­
(4) Спроектируйте измеритель периода —устрой­ гике) и вход для их отпирания, который использует
ство, измеряющее число микросекунд в одном пе­ отрицательную логику (т. е. ПЗУ выдает на выход
риоде гармонического входного сигнала. Установите выбранный информационный бит, когда разреша­
на входе компаратор на триггере Шмитта для фор­ ющий сигнал имеет низкий уровень). Покажите,
мирования уровня ТТЛ; используйте тактовую час­ как с помощью этих устройств, используя любые
тоту 1 МГц. Каждое очередное измерение должно необходимые средства, построить ПЗУ на 1024 бита.
начинаться после нажатия кнопки. (Возможно, окажется удачным применить схему
(5) Если вы еще не успели поставить буферный 74НС138, а может это проще сделать с помощью
регистр, добавьте его к счетчику периода. вентилей.) Воспользуйтесь этими способами.
(6) Сделайте так, чтобы схема измеряла время (13) Придумайте схему, которая хранила бы те­
десяти периодов. Кроме того, во время счета дол­ кущую сумму последовательно вводимых в нее
жен загореться светодиод. 4-разрядных двоичных чисел. Сохраняйте только
(7) Сконструируйте электронный секундомер. 4 разряда результата (т. е. производите суммирова­
Кнопка «А» начинает и останавливает счет, кнопка ние по модулю 16). Аналогичные схемы использу­
«В» производит сброс. Выход должен иметь вид хх.х ются для получения контрольных сумм, которые за­
(секунды и десятые доли). Считайте, что в схеме писываются на носитель информации, чтобы выя­
имеются прямоугольные импульсы, следующие с вить ошибки, например при записи на перфоленту.
частотой 1 МГц. Считайте, что каждое новое число сопровождает­
(8) В некоторых секундомерах используется толь­ ся положительным импульсом готовности, которое
ко одна кнопка (при каждом нажатии выполняется имеет длительность 1 мкс и уровень ТТЛ. Предус­
одна из операций цикла: пуск, останов, сброс, за­ мотрите вход сброса. Таким образом, ваша схема
тем снова пуск и т.д.). будет иметь общий вид, показанный на рис. 8.99.
(9) Спроектируйте высокочувствительный циф­
ровой частотомер для измерения числа периодов
входного сигнала в 1 с. Число значащих цифр дол­ _л_
жно быть достаточно большим. За время каждого
цикла счета число, отсчитанное на предыдущем
интервале, запоминайте в буферном регистре. Ин­
тервалы счета возьмите равными 1, 0,1 и 0,01 с. СБРОС
Полезно добавить в устройство хорошую входную
Сумма (по
схему, она позволит работать при различных значе­ модулю 16)
ниях чувствительности. Эта схема представляет со­ 4 всех чисел,
бой триггер Шмитта с регулируемыми гистерезисом Входное введенных
и точкой запуска (используйте быстродействующий число 2 с момента
компаратор). Можно добавить также сигнальный последней
вход для уровней ТТЛ. Подумайте над тем, как орга­ 1 установки

низовать двоично-десятичный выход: с помощью


коммутации или путем параллельного вывода каж­ Входное
дой цифры? Задумайтесь на некоторое время. число — СТРОБ
правильно
(10) Сконструируйте схему для регистрации про­
лета снаряда или пули, используя НС-логику. Ле­
тящий снаряд разрывает тонкий провод, пересека­
ющий путь его пролета, затем, преодолев по ходу Рис. 8.99.
584 Глава 8

К этой схеме добавьте еще выходной бит, который


будет равен 1, если общее число «единиц» всех чисел,
поступающих на вход с момента последней установ­
ки, нечетно, и 0, если оно четно. Подсказка: с помо­
щью «паритетного дерева» Исключающего ИЛИ мож­
но определить, когда сумма «единиц» в каждом числе
будет нечетной; на основе этого постройте схему.
(14) В упражнении 8.14 вы построили схему ум­
ножения 2x2, используя карты Карно для каждого
выходного бита. А теперь решите ту же задачу, ис­ Рис. 8.100
пользуя операции сдвига и сложения. Для начала
запишите произведение тем способом, который полусумматоров (сумматоры, которые имеют выход
известен вам из начальной школы. Этот процесс переноса, но не имеют входа переноса) для сложе­
имеет простую повторяющуюся схему (рис. 8.100) ния промежуточных членов.
и требует для реализации несколько 2-входовых вен­ (15) Теперь по тому же принципу сконструируйте
тилей (какого типа?), которые будут вырабатывать умножитель 4 х 4 с помощью 4-разрядных полных
промежуточные члены (а0Ьа и т. п), и 1-разрядных сумматоров (74НС83) и 16 2-входовых вентилей.

Таблица 8.4. Буферы


Тип Разряд­ Число Выходная нагрузка1 Входы Выход’ Противоп. Примечания
ность контак­ разре­ распол.
прямой инверс­ тов оггок, сток, семей­ шения61 выво­
ный мА мА ство дов0
'125 — 4 14 24 2 -6 LS 4H ЗС - Разрешение
каждого
разряда
'126 _ 4 14 24 2 -6 LS 4B ЗС _ То же
'365 '366 6 16 24 2 -6 LS 2Н ЗС
'367 '368 6 16 24 2 -6 LS 2Н ЗС - Разрешение
2,4 разрядов
'1034 '1004 6 14 24 15 LS _ 2С _ 74AS имеет
48/48 мА
'230 - 8 20 64 15 AS 2Н ЗС - 4 прямых, 4
инверсных с
разреш.
'231 8 20 64 15 AS Н,В ЗС —
Разрешение
4 разрядов
241 - 8 20 24 15 LS Н,В ЗС — Разрешение
4 разрядов
’244 '240 8 20 24 15 LS 2Н ЗС _
'465 '466 8 20 24 2 -6 LS 2Н ЗС - Разрешение
4 разрядов
'467 ’468 8 20 24 2 -6 LS 2Н ЗС Разрешение
4 разрядов
'541 ’540 8 20 24 15 LS 2Н ЗС
'656 ’655 8 24 64 15 F ЗН ЗС Генерация
бита паритета
'2966 ’2965 8 20 12 1 2Н ЗС RВЫХ = 250 м,*
разреш.
4 разрядов
'827 '828 10 24 64 15 F 2Н ЗС А т 29827/8

” нагрузочная способность для указанного семейства; в| входы РАЗРЕШЕНИЯ, ВЫСОКИЙ или НИЗКИЙ
активный уровень; " 2С—2 состояния; 3C—3 состояния; г| противоположное расположение контактов входа
и выходов
Таблица 8.5. Приемопередатчики
Тип Разряд­ Число Число Выходная Разреше­ Располо- Примечания r>
ность контак­ регис­ нагрузка *> ния® жен. вы-
прямой инверс­ тов тров
ный отток, сток, уровень режим противо­
мФ мФ положное в)
'243 '242 4 14 - 24 15 Н,В ЛП
'245 - 8 20 — 24 15 Н HP
'543 ■544 8 24 2 н ЛП
'545 - 8 20 — н HP
'550 '551 8 28 2 н ЛП Ага2950/51
'552 — 8 28 2 н ЛП + генерация
паритета
'588 8 20 н HP Резисторная
нагрузка
шины 488
'623 '620 8 20 — 24 15 н,в ЛП ’621/2
имеют ОК
’639 '638 8 20 24 15 н HP ЗС в одном
направлении,
ОК в другом
'643 — 8 20 24 15 н HP Q и O' выходы,
'644—входы
'645 '640 8 20 24 15 н HP '641/2 имеют
выход ОК
'646 '648 8 24 2 24 15 н HP '647/3 имеют
выход ОК
'652 ’651 8 24 2 24 15 н,в ЛП '654/3 имеют
ЗСиОК-выхады
’657 — 8 24 — н,в HP + генерация
паритета
■2623 '2620 8 20 12 2 н,в ЛП 25 Ом выходн.
сопротивление
’2645 '2640 8 20 12 2 н HP 25 Ом выходн.
сопротивление
'2952 '2953 8 24 2 24 6.5 н ЛП '2950/1 имеет
подтверждение
а| для LS семейства; 61 HP - направление и разрешение входов; ЛП — отдельные входы разрешения передачи
влево и вправо; в| расположение контактов ввода/вывода противоположное; г| все выходы на 3 состояния,
кроме оговоренных.

Таблица 8.6. Дешифраторы


Разряд­ Число Число актив­ Выходы Разре­
Тип ность'1 контак­ ных уров­ шение Примечания
тов ней разреше­ К-во Активн. выхода81
ния, В/Н5> уровень й
'42 4 16 0/0 10 Н - ’156 имеет выход с ОК
'131 3 16 1/1 8 Н -
■137 3 16 1/1 8 Н - Д-триггер на входе
'138 3 16 1/2 8 н -
'139 2+ 2 16 0/1 + 1 4+4 н -
’155 2 16 0+1/2+1 4+ 4 н - Защелка на входе
'538 3 20 2/2 8 В 2 '537-”1 из 10"
'539 2+ 2 20 0/1 + 1 4+4 в 1+ 1
'547 3 20 2/1 8 н Защелка на входе; АСК-выход
при разрешении
'548 3 20 2/2 8 н - АСК-выход при разрешении

а) многосекционность обозначается как “X + Y”, где X и Y - число разрядов в каждой секции;


61 В/Н - показывает число активных уровней разрешения, для многосекционных; см. замечание (а);
•> В —активный ВЫСОКИЙ уровень, Н —НИЗКИЙ уровень.________________________________
Таблица 8 .7. К омпараторы
Число Необходи­ Разре­ Выходы
Тип Разряд­ контак­ мость вход­ ше­ Защел­ Примечания
ность тов ного нагру- ние ка Q Q < >
зонного ре­
зистора
'85 4 16 - - _

'518 8 20 Да — ОК, ’519 не требует


входного резистора
'520 8 20 Да ’521 не требует вход­
ного резистора,
'522-с ОК
' 524 8 20 Защелка с посл/парал.
загрузкой и с выхо­
дом на 3 состояния
'682 8 20 Да - - - - - - - ' 683 имеет ОК-выход
’684 8 20 - - - - • - '685 имеет ОК-выход
'686 8 24 - - _ . _
’687 имеет ОК-выход
’ 688 8 20 - - ' 689 имеет ОК-выход
'866 8 28 Защелки на выходах Р
и Q, сброс Q; логи­
ческое или арифме­
тическое сравнение
■885 8 24 Р Защелка на входе Р;
логич. или арифм.
сравнение

Таблица 8.8. Одновибраторы


Тип Число Число кон­ Логический Сброс Переза­ Наличие Блоки­ Примечание
секций тактов запуск пуск встроенно­ ровка
го резисто- сброса 61
раа)
'1 2 1 1 14 (Н + Н) X В - - - Прецизионный
’121 2 16 В х Н н - - Есть Прецизионный
'1 2 2 1 14 (Н + Н) н Есть
XВ ж В
'4 2 2 1 14 (Н + Н) X н Нет '1 2 2 без запуска
хВ хВ
'1 2 3 2 16 Н Xв н - Есть '1 2 3 без запуска
'4 2 3 2 16 Н Xв н — Нет
'4 0 9 8 2 16 н+в н - Нет
'4 5 3 8 2 16 н+в н - Нет '4 5 2 8
'9 6 0 1 1 14 (Н + Н) X — — —
XВ XВ
'9 6 0 2 2 16 Н + В н — Нет
•> Внутренний резистор синхронизации; 61 Генерирует импульс на выводе СБРОС при наличии логического
запуска
Таблица 8.9. Регистры D -типа и защелки81
Тип ш Расположе- Q/Qг) Выход*11 Примечания
о
£ ние выводов

Разрядность
D-типа Зашелка D-тип Защелка противопо­

Разрешение
оX ложное в)

Сброс 61
и
о
33
S'
'173 - - - 4 16 - А . 3S
'175 '375 '175 '375 4 16 - А - 2S
'298 — — 4 16 — — • 2S Мультиплексированный
вход
'379 — '379 — 4 16 2S Аналогичен '175, но
CLR -> EN
'398 '398 4 20 — — 2S Мультиплексированный
вход
'399 _ — 4 16 — — — —
■ 2S Мультиплексированный
вход
'174 - - - 6 16 А - 2S
'378 — — 6 16 “ _ — 2S Аналогичен ’174, но
CLR -> EN
'273 - - - 8 20 - А - - 2S
'374 '373 '534 '533 8 20 - - - - 3S
'377 — — — 8 20 — 2S Аналогичен '374, но
3S -» EN
- '412 - '432 8 24 А - 3S Intel 82/2; бит состояния
'574 ■573 ’564 '563 8 20 — — • 3S Расположение выводов
'374/3; также '576/'580
'575 ■577 8 24 S 3S '574 с синхронным входом
' ' CLR
’825 '845 ■826 '846 8 24 А • 3S Ат29825
'823 '843 ’824 '844 9 24 А • 3S Ат29823
'821 '841 ’822 '842 10 24 — — 3S Ат29821; 10-разрядный
'374
'396 4+4 16 2S Каскадированный
4-разрядный регистр;
8-разрядный выход
’874 '873 '876 '880 4+4 24 - А 3S
'878 — '879 _ 4+4 24 — S ■ 3S '874 с синхронным входом
CLR
— '604 — — 8+ 8 28 — _ _ 2S Мультиплекс, вход;
'605—ОК
'606 8+ 8 28 2S '604 без выбросов;
'607—ОК

а>См. также «Приемопередатчики», некоторые из них содержат защелки. 6) А - асинхронный, S - синхронный;


в) соответственное расположение входных и выходных выводов;г>Прямой и инверсный входы;д) 2S —двухтактный
выход с 2-я состояниями, 3S - выход с 3-я состояниями.
Таблица 8.10. Счетчики
Тип Разряд­ Число Такт*1 Загруз­ Сброс' Сложе- Вывод Выход'1 Примечания
ность контак­ ка” ние/Вы- прямой/
двоичн. дв.-дес. тов чита- с защел­
ние51 кой"
'93 '90 4 14 А ~ А D 2S Нестанд. UKK, зем­
ля; ’92-по мо­
дулю 12
'161 '160 4 16 S S А - D 2S
■163 '162 4 16 S S S - D 2S
'169 '168 4 16 S S - D 2S
'191 ■190 4 16 S А - D 2S
'193 '192 4 16 S А D 2S Отдельные входы
синхронизации
для счета в пря­
мом и обр. на­
правлении
'197 '196 4 14 А А А — D 2S
'293 ’290 4 14 А — А D 2S '93 со станд. £/кк,
земля
'561 '560 4 20 S В В - D 3S
'569 '568 4 20 S S В D 3S 25LS2569/8
'669 '668 4 16 S S - D 2S Улучшенный ’169
'691 '690 4 20 S S А — В 3S
'693 '692 4 20 S S S - В 3S
'697 '696 4 20 S S А В 3S
'699 '698 4 20 S S S В 3S
'4516 '4510 4 16 S А А D 2S Выходы с
дешифрацией «1
из 10»
- ’4017 5 16 S - А - D 2S
'4024 - 7 14 А - А - D 2S
'69 '68 8 16 А - А - D 2S
■269 - 8 24 S S - D 2S Узкий DIP
'393 '390 8 14/16 А - А - D 2S Сдвоенный ’93/’90
■461 - 8 24 S S S - D 3S ПМЛ
'469 - 8 24 S S - D 3S ПМЛ
'579 8 20 S S В D 3S 8 двунаправлен­
ных линий вво­
да/вывода
'590 - 8 16 S - А — L 3S
'591 - 8 16 S А - L о к д»
■592 8 16 S А А L 2S 8 входов,
1 выход (МАХ
CNT)
'593 8 16 S А А L 3S 8 двунаправлен­
ных линий вво­
да/вывода
■779 8 16 S S D 3S 8 двунаправлен­
ных линий вво­
да/вывода
'867 — 8 24 S S А D 2S Узкий DIP
'869 - 8 24 S S S D 2S Узкий DIP
'4520 '4518 8 16 S А D 2S Полож. и отр.
фронты такто­
вых импульсов
'40103 '40102 8 16 S в А D D 2S
'4040 - 12 16 А - А - D 2S
'4020 - 14 16 А - А - D 2S
'4060 - 14 16 А А - D 2S
«А -- асинхронный, все тактовые входы типа А считают по отрицательному фронту, S - синхронный, все тактовые
входы типа S считают по положительному фронту; В - и по положительному, и по отрицательному фронту;e) D —
только на вычитание; " И в прямом, и в отрицательном направлении счета; г) 2S - с 2-я состояниями (составной
выход); 3S - с 3-я состояниями; 11 ОК - с открытым коллектором.
Таблица 8.11. Сдвиговые регистры
Тип Разряд­ Число Послед. (S) Направ­ Защел­ Сброс61 Выход"1 Примечания"
ность контак- Парад. (Р) ление ка11

вход выход
'95 4 14 P/S Р R - - 2S
’ 194 4 16 P/S Р R/L - А 2S
'195 4 16 P/JK Р R - А 2S
’295 4 14 P/S Р R - - 3S
'395 4 16 P/S P/S R - А 3S
’671 4 20 P/S Р R/L О А 3S Мультиплексир.
выход. PC или
защелка, сброс
только PC
’672 4 20 P/S Р R/L О S 3S '671 с синхронным
CLR
’96 5 16 P/S Р R А 2S Только для боль­
шой нагрузки
'91 8 14 2S 2S R — 2S
'164 8 14 2S Р R - А 2S
'165 8 16 P/S 2S R - 2S
'198 8 24 P/S Р R/L А 2S
'299 8 20 P/S P/S R/L А 3S Общие выводы
ввода/вывода
'322 8 20 P/S P/S R А 3S Общий парал.
ввод/вывод
'323 8 20 P/S P/S R/L S 3S ’299 с синхронным
сбросом
'589 8 16 P/S S R I 3S Очистка при
включении
(только PC)
'594 8 16 S P/S R О 2А 2S '599 с открытым
колектором;
отдельный сброс
'595 8 16 S P/S R О А 3S '596 с открытым
коллектором;
сброс только PC
'597 8 16 P/S S R I А 2S Сброс только PC
’598 8 20 P/2S P/S R I А 3S Общий парал.
ввод/вывод;
сброс только PC
'673 16 24 S P/S R о А 2S Общий после­
дов, ввод/вывод
с 3-я состояния­
ми; вк, чт/зп
'674 16 24 P/S S R 3S Общий послед,
ввод/вывод
'675 16 24 S P/S R о 2S 3 состояниями;
ВК, ЧТ/ЗП
’676 16 24 р S R — — 2S ВК

*>0 - на выходе; I - на входе; е| А - асинхронный, S - 1синхронный; *>2S - с 2-я состояниями (составной);


ЗБ - 3-я состояниями; 11ВК - вход выбора кристалла; ЧТ/ЗП —вход чтение/запись.
ГЛАВА 9
СОПРЯЖЕНИЕ ЦИФРОВЫХ
И АНАЛОГОВЫХ СИГНАЛОВ

«Перемалывание чисел» само по себе яв­ вать свои логические схемы на дискретных
ляется, несомненно, чрезвычайно важным транзисторах, самоотверженно бились над
применением цифровой электроники, но резисторно-транзисторной логикой (РТЛ),
ее действительные возможности открыва­ простым семейством логических элементов,
ются при использовании цифровых мето­ разработанным на фирме Fairchild и харак­
дов для обработки аналоговых (линейных) теризующимся небольшим коэффициентом
сигналов и процессов. Эту главу мы нач­ разветвления по выходу и низкой помехо­
нем с краткой хронологии «взлетов и устойчивостью. Рис. 9.1 иллюстрирует воз­
падений» семейств цифровой логики и никшие в то время проблемы, в частности
рассмотрим входные и выходные харак­ логический порог, превышающий уровень
теристики «выживших» семейств TTJ1- земли на одно напряжение £/6э, и крайне
КМОП-логики для того, чтобы понять, маленький коэффициент разветвления по
как осуществить сопряжение логических выходу (в некоторых случаях один выход мог
семейств друг с другом и с устройствами питать только один вход!) были обус­
цифрового ввода (переключателями, кла­ ловлены пассивной выходной схемой и низ­
виатурой, компараторами и т. п.) и вы­ коомной токоотводящей нагрузкой. Это
вода (индикаторами, реле и т. п.). Мы были времена малой интеграции и наибо­
рассмотрим также «-канальные логичес­ лее сложным элементом, который можно
кие элементы на МОП-транзисторах, по­ было реализовать, был сдвоенный триггер,
скольку они находят широкое примене­ работающий на частоте 4 МГц. Но мы сме­
ние при реализации ф ункциональны х ло строили свои схемы на РТЛ, иногда они
БИС. Затем коснемся важной темы вво­ сбивались особенно, когда в той же комна­
да и вывода цифровых сигналов на платы те включали паяльник.
и внешние приборы, а также передачи П охоронный звон по РТЛ прозвучал
цифровых сигналов по кабелям, после несколькими годами позже, когда появи­
чего обсудим методы взаимного преобра­ лась диодно-транзисторная логика (ДТЛ)
зования цифровых и аналоговых сигналов. фирмы Signetics и вскоре вслед за ней
Наконец, после того как читатель усвоит универсальная быстродействующая логи­
эти методы, мы рассмотрим несколько ка SUHL фирмы Sylvania, которая теперь
примеров применения, в которых сочета­ называется транзисторно-транзисторной
ние аналоговых и цифровых средств обес­ логикой (ТТЛ). Фирма Signetics выпускала
печивает эффективное решение разнооб­ распространенную смесь из двух серий, на­
разных задач. званную DCL Utilogic серии 8000 («Логи­
ческие схемы по выбору п роекти ров­
щика»), ТТЛ быстро прижилась особенно
СОПРЯЖЕНИЕ ЛОГИЧЕСКИХ в «системе счисления» «74хх», автором
КМОП- И ТТЛ-ЭЛЕМЕНТОВ которой была фирма Texas Instruments.
В этих семействах были применены входы,
9.01. Хронология логических семейств поставляющие ток, с логическим порогом
в 2 напряжения £/6э и и (как правило) двух­
В начале 1960-х гг., во времена, которые тактны е каскадны е выходы (рис. 9.1).
можно назвать доисторическими, пред­ Семейства ДТЛ и ТТЛ открыли эру поло­
приимчивые люди, не пожелавшие созда­ жительной 5-вольтовой логики (РТЛ была
+3,6 в

+U„

+ и г,
Гт & п t ? ri.
I
£ Jm U
+и„

i i
■и
НЕ-И = [Инвертор

Рис. 9.1. Упрощенные схемы элементов различных логических семейств.


592 Глава 9

элементы (серия 74L) с 1/4 скорости при


1/10 мощности, соответствующих «стан­
дартной» ТТЛ серии 7400.
При поддержке фирмы RCA было раз­
работано первое семейство логических
э л е м е н т о в н а М О П -т р а н з и с т о р а х ,
КМ ОП-логика серии 4000. Эти элемен­
ты обладали нулевой мощностью потреб­
ления в состоянии покоя и широким диа­
пазоном напряжения питания (от +3 до
+ 12 В). Выходы имели размах, равный
напряжению питания, а входы не «оттяги­
вали» ток. Это были хорошие новости,
но были и плохие — скорость (1 МГц при
питании 10 В) и цена (20 долл. за кор­
пус с четырьмя вентилями). Несмотря на
цену на микромощных КМ ОП-элементах
выросло целое поколение разработчиков
устройств с батарейным питанием - про­
сто не было другого выбора. Работая с
Рис. 9.1. Продолжение. «легкоранимыми» входами, разработчики
поняли истинное значение статического
логикой + 3,6 В) и предлагали скорость, электричества.
соответствующую 25 МГц, а коэффициент Т а к о в а б ы л а с и т у а ц и я н а н ач ал о
разветвления по выходу 10, т. е. один вы­ 1970-х гг., - две главные линии бипо­
ход мог работать на 10 входов. Разработ­ лярной логики (ТТЛ и ЭСЛ) и необы ч­
чики не могли нарадоваться скорости, ная К М О П -логика. Варианты ТТЛ были
надежности и сложным функциям (напри­ по природе своей совместимы друг с
мер, счетчику по модулю 10) этих семей­ другом, за исклю чением того, что ТТЛ-
ств. Казалось, что больше и мечтать не элементы серии 74L имели слабый вы ­
о чем; ТТЛ - это н а веки вечные. ходной узел (отвод тока 3,6 мА) и мог­
Однако людям свойственно стремление ли питать только две стандартные (се­
к совершенствованию. Им потребовалась р и и 74) н а г р у з к и ТТЛ (ч ь и входы
большая скорость, меньш ая мощ ность требовали 1,6 мА на низком уровне).
потребления. Казалось бы, вскоре они Среди больш инства семейств почти не
получили и то и другое. В области высо­ было совместимости (хотя погруженные
кого быстродействия скоростные ТТЛ- ТТЛ-элементы могли питать К М О П -эле-
схемы (серии 74Н) позволили увеличить менты, а 5-вольтовые К М О П - — только
скорость почти вдвое, правда, за удвоен­ одну ТТЛ-нагрузку серии 74L).
ную мощность! (это выдающееся дости­ В течение 1970-х гг. ситуация постоян­
жение было сделано путем уменьшения но улучшалась практически на всех н а­
вдвое величин всех резисторов). Другое правлениях. От ТТЛ отпочковались нена­
семейство —эмиттерно-связанная логика сыщенные «фиксируемые диодами Ш от­
(ЭСЛ) - представило настоящую скорость тки» семейства (см. разд. 13.23): сначала
(30 МГц в первоначальной версии) за счет серия 74S, которая благодаря утроенной
использования отрицательного источни­ скорости при удвоенной мощности вытес­
ка питания и более близких друг к другу нила серию 74Н, и затем 74LS (L-low,
логических уровней ( -0 ,9 8 и 1,75 В); S-Schottky: маломощная Ш оттки), кото­
элементы семейства потребляли уйму рая, слегка улучшив скорость при 1/5 мощ­
мощности и едва втиснулись в малый уро­ ности, вытеснила ТТЛ серии 74. Жизнь
вень интеграции. В области низкой мощ­ с 74LS и 74S была приятной; затем подо­
ности п о яви л и сь м алом ощ н ы е Т ТЛ - спела фирма Fairchild со своей серией
Сопряжение цифровых и аналоговых сигналов 593

Таблица 9.1. Логические семейства


Семейство '*<‘00 ) Л- В Год внед-
" макс, (С„ = 0 ) при 0,5 В макс, тип., В .
при мА
тип., макс, 1МГц, мА
НС НС мВт/вент.

кмоп
АС 3 5,1 125 0,5 24 0 UJ1 2 5 или 3,3 6
ACT » » » » » » 1,4 4,5 5 5,5 1985
НС 9 18 30 0,5 8 0 ЧЛ 2 5 6
нет » » » » » » 1,4 4,5 5 5,5 1982
(при 10 В 30 60 5 1,2 1,3 0 ил 3 5-15 18
4000В/74С-! 1970
[при 5 В 50 90 2 0,3 0,5 0 » » » »
ТТЛ
AS 2 4,5 105 8 20 0,5 1,5 4,5 5 5,5 1980
F 3,5 5 100 5,4 20 0,6 1,6 4,75 5 5,25 1979
ALS 4 11 34 1,3 8 0,1 1,4 4,5 3 5,5 1980
LS 10 15 25 2 8 0,4 1,1 4,75 5 5,25 1976
ЭСЛ
ECL III 1,0 1,5 500 60 - -1 ,3 -5 ,1 9 -5 ,2 -5,21 1968
ECL 100K 0,75 1,0 350 40 - - -1 ,3 2 - 4 ,2 -4 ,5 -5 ,2 1981
ECL 100KH 1,0 1,5 250 25 - - -1 ,2 9 - 4 ,9 -5 ,2 -5 ,5 1981
ECL 10K 2,0 2,9 125 25 - - -1 ,2 9 -5 ,1 9 -5 ,2 -5,21 1971
GaAS

1 1
10G 0,3 0,32 2700 125 -1 ,3 -з,з - 3 ,5 1986
-5 ,1 -5 ,5

74F (F-FAST: Fairchild Advanced Schottky серия 74S с функциями и выводами се­
TTL — усовершенствованная ТТЛ с дио­ мейства 74, которая воспользовалась по­
дами Шоттки фирмы Fairchild), которая трясающим успехом биполярной логики
была быстрее на 50%, чем 74S, при 1/3 семейства 74. ЭСЛ пустила ростки в виде
мощности; кроме того, были и другие ECLII, ECLII1, ECL 10,000 и ECL 100,000,
улучшения, так что проектирование схем обладающие скоростью до 500 МГц.
на этих элементах стало сплошным удо­ Обозначения
вольствием. Фирма Texas Instruments (ав­ 50 • 74С/4000 В О КМОП
• биполяр. TTL
тор многих линий 1Ахх) выпустила пару ■ ЭСЛ
улучшенных семейств Ш оттки-логики: 40
□ прочие
74AS (улучш енная Ш оттки -логика) и • L
30
74ALS («улучшенная маломощная Ш от­ *
тки»). Предполагалось, что первое се­ 12 □ RTL

мейство заменит 74S, а второе — 74LS. 10 ►LS I первая ТТЛ (74хх)


Все эти ТТЛ-семейства имели одинако­ О НС
вые логические уровни и добротную схе­ 8
му формирования выхода, так что их мож­ 6 • Н
но было сочетать в одной схеме. Исполь­ q FACT
• S
зуя табл. 9.1 и рис. 9.2, можно сравнить 4 , ALS
ОАС 10К
скорости и мощности этих семейств. 2 » AS ■ „ 10G
Между тем серия 4000 КМОП эволюци­ ilOKH.10°K.m(faAS)
0 Л.
онировала в улучшенную серию 4000 В 0,1 1 10 100
с более широким диапазоном напряжения
Мощность, мВт/вентиль п р и / = 1 МГц
питания (от 3 до 18 В), лучшей защитой
входов и б олее в ы со к о й ск о р о сть ю Рис. 9.2. Зависимость скорости от мощности для
(3,5 МГц при 5 В). По существу, это та же различных логических семейств.
594 Глава 9

Итак, ситуация в 1980 г. была следую­ более высокой помехоустойчивостью и


щей. Большинство схем было выполне­ представляют собой семейства по выбору
но на серии 74LS в сочетании с 74F (или проектировщ ика. К тому же в 80-е гг.
74AS), если требовалась более высокая БИС и С БИ С постепенно переключались
скорость. Та же самая ТТЛ использова­ с «-М ОП-технологии на КМ ОП (с вы­
лась как своего рода клей для связи текающими отсюда низкой мощностью
микропроцессорных я-М О П -схем , чьи и КМ ОП-совместимостью), одновремен­
входы и выходы были совместимы с ТТЛ. но увеличивая скорость и сложность.
М икромощные устройства всегда были И наконец, на вершине быстродействия —
сделаны с использованием КМ ОП-серий элементы на GaAs (арсенида галлия),
4000 В или 74С, э к в и в ал ен тн ы м и и обеспечиваю щие скорость в несколько
совместимыми друг с другом. Для уст­ гигагерц.
ройств с самой высокой скоростью (100 н- Заметьте, что все К М О П -сем ейства
500 МГц) использовалась ЭСЛ. Совмес­ (4000 В, 74 С, Н С , Н С Т, АС и ACT)
тное использование семейств было не обладаю т д овольн о п ривлекательны м
столь уж частым явлением, исключение свойством — нулевой «статической» (т. е.
составляли редкие сочетания КМ ОП и когда ничего не происходит) мощностью
ТТЛ или сопряжение ТТЛ с быстродейст­ рассеивания с типовым током покоя ме­
вующими ЭСЛ-схемами. нее микроампера. Но при переключениях
В 1980-е гг. произошло замечательное логических уровней К М О П -элем енты
событие - разработка КМ О П -логики со потребляют «динамический» ток, обуслов­
скоростью и выходными параметрами, ленны й двумя эффектами: а) переход­
соответствующими ТТЛ. Сначала появи­ ной проводимостью между шинами пи­
лись элементы серии 74НС («высокоско­ тан и я внутренних двухтактны х пар в
ростная КМ ОП-логика») с такой же ско­ середине логического перепада и б) дина­
ростью, как 74LS и, разумеется, с нуле­ мическим током, необходимым для заря­
вым током покоя и затем серия 74АС да и разряда внутренних емкостей и ем­
(«улучшенная КМ ОП-логика») с такой кости нагрузки. Динамический ток про­
же скоростью, как 74F или 74AS. Обла­ порционален частоте переклю чения и
дая размахом выходного сигнала, равным может соперничать с током биполярной
напряжению питания, и входным поро­ логики при достижении максимальной
гом, равным половине напряжения ис­ частоты работы. Д ля более детального
точника питания, эта логика сочетает анализа загляните в разд. 8.10 (рис. 8.18)
лучшие свойства предшествующих ТТЛ- и разд. 14.16 (рис. 14.38).
и КМ ОП-логики и постепенно должна Завершим нашу краткую историческую
вытеснить биполярную ТТЛ. Вместе с справку следующей рекомендацией. Ис­
тем им еется н ек о то р ая н е с о в м е с т и ­ пользуйте во всех ваших новых устройствах
мость — логический «высокий» уровень логику 74НС в сочетании с (а) 74НСТ
выходного сигнала ТТЛ- и и-МОП-логи- для обеспечения совместимости с су­
ки (мин. 2,4 В) недостаточен для запуска ществующими устройствами на я-М О П -
входа НС и АС. Поскольку, по-видимо­ и ТТЛ и (б) 74АС(Т) для обеспечения
му, существует такой период времени, ког­ скорости. Можно использовать биполяр­
да вам необходимо использовать некото­ ную ТТЛ (74LS/ALS и 74F/S), но предпо­
рые из старых семейств биполярной ТТЛ- чтительнее, по-видимому, К М О П -логи­
или я-М О П -логики, каждое семейство ка. Если требуется широкий диапазон на­
КМОП-логики имеет вариант с более низ­ пряжения питания, а к быстродействию
ким входным порогом. Такие семейства особы х треб ован ий не п редъявляется
имеют наименование 74НСТ и 74АСТ (наприм ер, портативны е устройства с
(«быстродействующая К М О П -логика с питанием от нерегулируемой батареи 9 В),
ТТЛ-порогом»). Однако не пытайтесь ис­ то используйте старую серию 4000 В/74С.
пользовать их везде, где только можно, ведь
элементы с К М О П -порогом обладаю т
Сопряжение цифровых и аналоговых сигналов 595

9.02. Входные и выходные


характеристики
КМ ОЛ-диод
t
Семейства цифровой логики проектиру­ У
П Л -д и о д
ются таким образом, чтобы выход крис­ КМОП,
к?
ток утечки 1 мкА и провод > 10В
талла был способен работать на большое
число входов элем ен тов того же с е ­
/
/ , J /
мейства. Типовой коэффициент разветв­ +5

ления по выходу равен 10; это означает, 0 / Вх. напряжение

что к выходу вентиля или триггера можно


г \ 74LS: - 0 ,4 мА, макс.
ТТЛ 74ALS: -0 ,1 мА, макс.
подключить до 10 входов и элемент будет 74AS: -0 ,5 мА, макс.
правильно работать. Другими словами, в 74F: -0 ,4 мА, макс.

обычной практике проектирования циф­ а


ровых схем можно обойтись без каких-
либо сведений об электрических парамет­
рах используемого вами кристалла при
условии, что ваша схема состоит только
из элементов цифровой логики, работа­
ющих также на элементы цифровой логи­
ки того же типа. Практически это озна­
чает, что вы можете особенно не думать о
реальных процессах, происходящих на
логических входах и выходах.
Однако, если вы пытаетесь подключить
цифровую схему к внешним источникам
сигналов (цифровых или аналоговых) или
используете цифровые схемы для запуска Вх. напряжение
других приборов, вы должны иметь пред­ 6
ставление о том, что необходимо для уп­ Рис. 9.3. Характеристики логических вентилей.
равления логическим входом и чем мо­ а - входной ток; б - передаточная характеристика.
жет управлять логический выход. Более
того, при смешивании семейств логичес­ инвертора) как функции входного н а­
ких элементов важно знать схемные осо­ пряжения. На графиках мы несколько
бенности логических входов и выходов. расширили диапазон входных напряжений
Сопряжение логических семейств пред­ по сравнению с принятым в цифровых
ставляет отнюдь не чисто теоретический схемах, поскольку при сопряжении легко
интерес. Для того чтобы воспользоваться могут возникнуть ситуации, когда вход­
преимуществами современных кристаллов ные сигналы будут превышать напряже­
БИС или специальными функциями, ко­ ние источника питания. Как следует из
торыми обладает только одно семейство графиков, и КМ ОП-логика и ТТЛ нор­
логических элементов, вы должны знать, мально работают при подключении вы­
как сочетать логические элементы различ­ вода питания отрицательной полярности
ных типов. В последующих разделах мы к земле.
рассмотрим детально схемные особенно­ При подаче на ТТЛ-вход низкого уров­
сти логических входов и выходов и при­ ня он работает как источник тока замет­
ведем примеры сопряжения логических ной величины, а при подаче высокого
семейств между собой и логических эле­ уровня —как нагрузка, потребляющая не­
ментов с внешним миром. большой ток (типовой - несколько мкА;
Входные характеристики. Графики, при­ никогда не превышает 20 мкА). Для уп­
веденные на рис. 9.3, демонстрируют ос­ равления ТТЛ-входом вы должны обес­
новные свойства КМОП- и TTJI-входов - печить отвод тока порядка 1 мА (точные
входной ток и выходное напряжение (для значения приведены в табл. 9.1), поддер­
596 Глава 9

живая напряжение на входе на уровне 74Н С Т и 74АСТ типовой порог равен


менее 0,4 В. Несоблюдение этого условия примерно 1,5 В для обеспечения совме­
может привести к неправильной работе стимости с ТТЛ. Как и в ТТЛ, существуют
схемы при сопряжении! Для входных на­ КМ ОП-вентили с триггерами Ш митта на
пряжений ниже уровня земли TTJI-вход входе. Напряжение питания КМ ОП-логи-
ведет себя как фиксирующий диод, вклю­ ки составляет от + 2 до + 6 В для НС,
ченный на землю; при напряжениях выше АС, + 5 В +10% для НСТ и ACT, и от +3 до
+ 5 В ток определяется напряжением про­ +18 В для 4000В и 74С.
боя диода (LS, F) или перехода б а з а - Выходные характеристики. Выходной
эмиттер (ALS, AS) с напряжением про­ узел ТТЛ представляет собой прп-тран­
боя около 10 В. зистор, подключенный к земле, и /^«-пов­
Типичное значение входного порога торитель (или схема Дарлингтона), под­
ТТЛ составляет примерно +1,3 В, хотя ключенный к (/+ с резистором, ограни­
по техническим условиям он может на­ чивающим ток, в коллекторе. Один тран­
ходиться между +0,8 и + 2,0 В. ТТЛ- зистор насыщен, другой выключен. В ре­
вентили с триггерами Шмитта на входе зультате ТТЛ-элемент может отводить боль­
(’13, ’14, ‘132) имеют гистерезис ±0,4 В; ш ой то к на зем лю (8 мА д л я 74LS,
при графическом изображении они поме­ 24 мА для 74F) при небольшом падении
чаются символом гистерезиса (см., напри­ напряжения и способен отдавать по мень­
мер, рис. 9.9). Напряжение питания Uam шей мере несколько миллиампер при вы­
(обычно его обозначают UKK) составляет соком выходном уровне (около +3,5 В).
+ 5,0 В ±5%. Выходная схема проектируется таким об­
Входы КМ ОП-элементов при входных разом, чтобы можно было подключить
напряжениях от уровня земли до напря­ до 10 ТТЛ-входов.
жения питания не потребляют ток (за Выходная схема КМ О П -логики пред­
исключением тока утечки, типовое зна­ ставляет собой двухтактную пару компле­
чение которого составляет 10-5 мкА). м ентарны х М О П -тр ан зи сто р о в; один
Для напряжений выше диапазона напря­ вклю чен, другой выклю чен (рис. 9.1).
жений питания входы ведут себя как два Выход ведет себя как готкр М О П -тран­
фиксирующих диода, подключенных к зистора, подключенное к земле или к U+,
положительному полюсу источника пита­ если напряж ение на нем находится в
ния и к земле (рис. 9.1). Кратковременный пределах 1 В относительно шины пита­
ток через эти диоды, превышающий при­ н ия, или как и сточни к тока, если вы
мерно 10 мА, переводит многие КМ ОП- отбираете такой больш ой ток, что н а­
приборы в состояние тиристорного «за­ пряжение на выходе отличается на 1 2 В
щелкивания» (см. разд. 8.35; новейшие от напряжения на шинах питания. Ти­
схемы противостоят более высоким то­ повое значение /-откр составляет от 200 Ом
кам и обладают иммунитетом к этой до 1 КОм для 4000В/74С, 50 Ом — для
«болезни»; например, на входы семейств 74НС(Т) и 10 Ом для 74АС(Т). Выходные
НС и НСТ можно подавать на 1,5 В выше характеристики КМ ОП и ТТЛ показаны
напряжения питания без нарушения фун­ на рис. 9.4.
кционирования или разрушения прибора). Н а рисунке мы изобразили типовое
Это — те знаменитые диоды для защиты выходное напряжение для обоих состоя­
входов, без которых К М О П -элем енты ний выхода - ВЫСОКОГО и Н ИЗКО ГО
были бы чрезвычайно подвержены раз­ в зависим ости от входного тока. Д ля
рушениям от статического электричества упрощения графиков входной ток везде
при ручных манипуляциях (они и так все показан положительным. Заметьте, что
еще довольно нежны). Типовое значение выходы КМ ОП-элементов, если они не
порога для семейств 4000В, 74С, 74НС и сильно нагружены, подключаются либо
74АС составляет половину напряжения к U+, либо к земле, обеспечивая полный
питания, но он может колебаться от 1/3 размах выходного напряжения; при под­
до 2/3 U+ (U+ обычно называют Ucc); для ключении только КМ ОП-нагрузок (нуле­
Сопряжение цифровых и аналоговых сигналов 597

Рис. 9.4. Выходная характеристика


логического вентиля.
Вых. Т О К , А

вой статический ток) размах составляет риферии 12-вольтовой КМ ОП-системы


полное напряжение на шинах питания. Для для того, чтобы обеспечить соединение
сравнения отметим, что типовое значение с внешними ТТЛ-совместимыми сигна­
TTJI-уровн ей со ставл яет 50 + 200 мВ лами, или для питания кабелей.
(Н И ЗК И Й ) или +3,5 В (В Ы С О К И Й ) Воспрепятствовать сочетанию какой-
при условии подключения в качестве на­ либо логической пары кристаллов могут
грузки других ТТЛ-элементов. Подклю­ только 3 вещи: а) несовместимость вход­
чение нагрузочного резистора (рассматри­ ных логических уровней; б) возможности
вается ниже) доводит высокий ТТЛ-уро- выходного формирователя и в) напряже­
вень до +5 В. ние питани я. Чтобы не утомлять вас
страницам и объяснений, что работает
а что — нет, мы свели проблему сопряже­
9.03. Сопряжение логических семейств ния к табл. 9.2. Предпримем по ней крат­
кое путешествие.
Поскольку существуют ситуации, когда ТТЛ использует напряжение питания
вам приходится смешивать различные ти­ +5 В и обычно выдает высокий уровень
пы логических семейств, важно знать, ка­ всего около +3,5 В; она обладает хоро­
ким образом можно обеспечить «общение» шим низким уровнем — почти до земли.
р азл и чн ы х сем ей ств друг с другом . Таким образом, ее можно подключить
Например, многие представляющие ин­ к логике с низким значением порога, т. е.
терес кристаллы БИС созданы на основе к ТТЛ, НСТ, ACT и и-М ОП (в которых
л-МОП-технологии с ТТЛ-совместимыми заранее закладывается совместимость).
выходными уровнями (ВЫСОКИЙ - око­ Д ля того чтобы управлять Н С , АС и
ло +3 В), но их нельзя сразу же под­ 4000В/74С, работаю щ ие при 5 В, вам
ключать к 74НС. Другой пример: вам понадобится полный перепад до +5 В.
захотелось использовать превосходную Это вы можете сделать с помощью резис­
серию счетчиков 74С9хх в существующей торной подвески к +5 В или вставляя бу­
схеме, построенной на 74LS. Или вам фер НСТ (напомним, что НСТ и ACT
понадобилась 5-вольтовая логика по пе­ имеют выходы с полны м перепадом).
598 Глава 9

Таблица 9.2. Сопряжение логических семейств


к-> 4000В, 4000В,
От НСТ НС НС, АС л-МОП 74С 74С
1 ТТЛ ACT АС приЗ.ЗВ БИС при 5 В при 10В

ТТЛ ОК ОК А ОК ОК А В

НСТ ОК ОК ОК N0 ОК ОК В
ACT

НС ОК ОК ОК N0 ОК ОК В
АС

НС, АС
при 3,3 В ОК ОК N0 ОК ОК В В

л-МОП
БИС ОК ОК А ОК ОК А в

4000В,
74С ОКа ОК ОК N0 ОК ОК в
при 5 В

4000В,
74С с с С С С С ОК
при 10 В

а> С ограниченным коэффициентом разветвления по выходу. ( + ) — работает; ( —)


- не работает; А — используйте открытый коллектор с резистором, подключенным к
+ 5 В, или промежуточный вентиль НСТ; Б - используйте: 1) резистор, подключенный
к +10 В; 2) элементы 40109, 14504 или преобразователь уровней LTC1045; В - исполь­
зуйте элементы 74С901/2, 4049/50, 14504 или преобразователь уровней LTC1045.

Если вы используете подвеску, учтите, что зочной способностью. Можете исполь­


значение резистора определяется компро­ зовать, таким образом, те же средства
миссом - чем меньше, тем быстрее, но при сопряжения.
большей мощности. Обычно выбирают Выходы всех КМ ОП-семейств обладают
4,7 КОм. Резистор подвески подтягивает перепадом, равным напряжению питания.
высокий выходной уровень ТТЛ к +5 В, Это означает, что вы можете непосред­
хотя последняя часть волны подъема ственно подключать 5-вольтовую КМ ОП-
(во время которой резистор и делает логику к ТТЛ, л-М О П - и 5-вольтовой
всю работу) довольно медленная. Для К М О П -л о г и к е . У чти те, о д н а к о , что
того чтобы управлять высоковольтной КМ ОП старого типа (4000В/74С) имеют
КМ ОП-логикой, используйте преобра­ слабый выход при работе от 5 В (ток отво­
зователь уровней типа 40109, 14504 или да 0,5 мА) и полностью теряют свою спо­
LCT1045; они очень медленные, ну и собность управлять ТТЛ. Для этих семейств
пусть, ведь вы же все равно пытаетесь уп­ используйте транслятор уровней для управ­
равлять м едлен н ой К М О П -л о ги к о й . ления высоковольтной КМОП-логикой.
и-М ОП-выходы похожи на ТТЛ, но в Превосходным решением задачи сопря­
общем случае обладают меньшей нагру­ ж ен и я К М О П -Т Т Л /и -М О П яв л яется
Сопряжение цифровых и аналоговых сигналов 599

использование КМ ОП при уменьшенном


напряжении питания; по стандарту JEDEC
Standard № 8 напряжение питания состав­
ляет + 3,3 В, при этом входной порог рас­
полагается вблизи обычного ТТЛ-порога
1,4 В. Таким образом, ТТЛ может непос­
редственно управлять НС/АС при питании
3.3 В и наоборот. В качестве дополни­
тельного вознаграж ден и я работа при
3.3 В снижает динамическую мощность
потребления (см. разд. 8.10, 14.16 и рис.
8.18 и рис. 14.38) на 55% относительно
мощности при 5 В при увеличении за­
держек распространения почти на 40%. Рис. 9.5. Быструю фронтовую логику нельзя запус­
Учтите, однако, что вы не можете под­ кать медленными сигналами (например, от узлов с
ключать (и в том и в другом направлении) медленной логикой).
3,3-вольтовую КМОП к другим КМ ОП,
работающим при 5 В. большого времени перехода и относитель­
но высокого выходного импеданса мед­
Упражнение 9.1. Объясните, почему последнее ленной КМ ОП.
утверждение истинно.
На рис. 9.6 приведены несколько соче­
таний семейств, с которыми вам, вероят­
Наконец, высоковольтная КМ ОП-логи­ но, доводилось встречаться.
ка может управлять 5-вольтовой логикой,
если для формирования 5-вольтового вы­ 9.04. Управление КМОП-
ходного перепада вы поставите преобра­ и ТТЛ-входами
зователь у р о вн ей (7 4 С 9 0 1 /2 , 14504,
LTC1045 или 4049/4050). Можно управлять Механические ключи в качестве уст­
LS ТТЛ-элементами непосредственно от ройств ввода. Если вам известны входные
высоковольтной КМОП-логики, посколь­ характеристики используемой логики, то
ку там нет диодов, защищающих входы, управление цифровыми входами от пе­
и входное напряжение пробоя обычно реключателей, клавиатуры, компараторов
превышает 10 В; однако в соответствии и т. п. не доставит вам особых ослож­
с техническими условиями на LS (абсо­ нений. Самы й простой способ — это
лютное максимальное входное н ап р я­ использовать резистор, подключенный к
жение 7 В) необходимо использовать пре­ шине питания (рис. 9.7). Для элементов
образователь уровней. ТТЛ, учитывая их входные характерис­
Предостережение. Хотя статические ло­ тики, лучше всего, когда резистор ком­
гические уровни могут не вызывать бес­ м утируется клю чом на зем лю . Ключ
покойства, иногда возникает заниматель­ легко отбирает входной ток на низком
ная динамическая несовместимость, если уровне, а резистор поднимает высокий
вы пытаетесь управлять фронтовыми вхо­ уровень до + 5 В, обеспечивая высокую
дами (например, входы синхронизации помехоустойчивость; кроме того, это удоб­
счетчиков) НС или АС от выходов более но, когда ключ возвращается в состояние,
медленной логики типа 4000В или 74С. соответствующее земле.
На рис. 9.5 изображены многократные Альтернативный способ, когда резис­
переходы, которые вы могли часто н а­ тор подключается к земле, а ключ обес­
блюдать; иногда кристалл НС совсем от­ печивает коммутацию к +5 В, нежела­
казывается считать до тех пор, пока вы не телен, поскольку при этом необходима
прикоснетесь щупом осциллографа (или маленькая величина сопротивления рези­
небольшой емкостью)! По-видимому, ви­ стора (220 Ом), гарантирующая низкий
новником этого является ком бинация ТТЛ-уровень в несколько десятых вольта,
600 Глава 9

ТТЛ
п-МОП
ТТЛ НСТ
п-МОП ACT
п-М
О---------О +5 пл
НС п-МОП
ТТЛ АС
п-МОП 74С/4000В(5В) 3,3 в 3,3 в

4
■о 40109
■о
5-В логика LTC1045 74С/4000В (>5В)
+5
«1 "Лкг X й"
-5 0 0 н с Время-
— Q — t > - — L>—
5-В логика
,___ 4 СС
НС X Т 74С/4000В
TTL на АС
HV с откр.коллектором
I <>5В)
п-МОП ACT 74С/4000В(5В)

О — [>— D°— 74С/4000В LTC1045


(>5В) 14504 5-В логика
ТТЛ
НС(Т) НС(Т) п-МОП + Urr 4 0 4 9 /5 0 +5
АС(Т) АС(Т) 74С/4000В(5В) I 74С901/2
/Ч L 4 V L / d ш

о — о
НС(Т) — L>— [£ — {_>—
АС(Т) 74С/4000В (5В )
74С/4000В(5В) п-МОП TTfl(ALS, возмож но LS)

-О- О
Рис. 9.6. Соединение логических семейств друг с другом.
а б

Рис. 9.7. Управление логическими


элементами от механических ключей
(без защиты от дребезга). ПЛ КМОП КМОП

а это означает, что при замкнутом ключе с подвеской к земле - всего 0,6 В (для ТТЛ
будет протекать большой ток. В схеме FAST нижний порог +0,8 В, входной ток
с подвеской к шине питания помехоус­ равен - 0,6 мА).
тойчивость при разомкнутом ключе (худ­ Для КМ ОП-логики и та и другая схема
ший случай с точки зрения чувствитель­ работает превосходно, поскольку входы
ности к помехе) будет составлять по не потребляют ток, а типовое значение
крайней мере 3 В, в то время как в схеме порога составляет половину Ucc. Обычно
Сопряжение цифровых и аналоговых сигналов 601

удобно заземлять одну из сторон ключа,


но если схема становится проще при нали­
чии высокого уровня, когда ключ замкнут,
то вполне пригоден способ с подключением
резистора на землю. На рис. 9.7 показа­
ны все три описанных способа.
Дребезг ключей. Как было отмечено в
гл. 8, после зам ыкания контактов м е­
ханических переключателей дребезг кон­
тактов продолжается в течение примерно
1 мс. Дребезг крупногабаритных пере­
клю чателей мож ет п ро д о л ж аться до
50 мс. Это может приводить к беспоря­ Рис. 9.9. Схема защиты от дребезга (ЛС-цепочка и
триггер Шмитта).
дочным переключениям в схемах, реаги­
рующих на смену состояний или фронт сглаж ивает д реб езг, п оэтом у три ггер
(например, если триггер или счетчик Ш митта переключится только один раз.
тактируются прямо от ключа, то возможно В общем случае вполне достаточно иметь
многократное их переключение). В подоб­ постоянную времени Л С-цепочки, равную
ных ситуациях следует подавить дребезг клю­ 10 25 мс. Д ля ТТЛ этот способ не
ча электронными средствами. Рассмотрим п одход и т и з -з а н и зк о г о и м п е д а н с а ,
несколько способов подавления. необходимого для запуска ТТЛ-входов.
1. Соберите из двух вентилей асинхрон­ 4. В оспользуйтесь кристаллом типа
ный АУ-триггер, не забыв, разумеется, 4490, «сшестеренным подавителем дре­
о резисторах подвески к шине питания безга». В этой превосходной схеме и с­
(рис. 9.8). Можно использовать готовый пользована цифровая задержка (5-разряд-
триггер с входами СБРОС и УСТАНОВ­ ный сдвиговый регистр на каждый ключ)
КА (например ’74), заземлив вход синх­ как своего рода цифровой фильтр нижних
ронизации. частот. Схема содержит внутренние ре­
2. Используйте интегральный вариант зисторы подвески и схему синхронизации.
предыдущей схемы. Элементы ’279, 4043 Пользователь добавляет времязадающий
и 4044 представляют собой счетверенные конденсатор, устанавливая частоту гене­
Я5-триггеры. ратора и определяя тем самы м время
3. Используйте КМ ОП-триггер Ш мит­ задержки.
та с замедляющей ЛС-цепочкой на входе 5. П римените схему, показанную на
(рис. 9.9). Фильтр нижних частот Л2С, рис. 9.10, используя либо неинвертирую­
щий вентиль, либо буфер. Л огический
выход всегда можно заблокировать, за­
и м ы кая его на U+ или зем лю , но при
условии, что эта блокировка кратковре­
менна. Приведенная схема удовлетворя­
ет этому условию, поскольку принуди­
тельная установка действует только на

1,0 кОм ^

Ut
Рис. 9.10. Схема защиты от дребезга (неинвертиру­
Рис. 9.8. Схема защиты от дребезга (Л5-триггер). ющий вентиль с обратной связью).
602 Глава 9

интервале времени, равном задержке вен­ конструкция позволяю т избежать этой


тиля, после чего вентиль поддерживает сам проблемы «сухого переключения», ключ
себя в новом состоянии. будет хорошо работать даже при нулевом
6. Применяйте компоненты с встроен­ токе.
ным подавителем дребезга. Н апример,
шифраторы клавиатуры проектируются 9.05. Управление цифровой логикой
с учетом того, что в качестве устройств от компараторов
ввода будут использованы механические и операционных усилителей
ключи, поэтому они обычно содержат
схему подавления дребезга. Компараторы и операционные усилители,
7. Можно использовать ключи, пост­ наряду с аналогоциф ровы ми преобра­
роенные на основе эффекта Холла. Они зователями (АЦП), являются обычными
представляют собой твердотельные клю­ устройствами ввода, с помощью которых
чи, управляемые магнитным полем, и ан алоговы е сигналы могут управлять
используются в качестве панельных или цифровыми схемами. На рис. 9.11 пока­
клавиатурных ключей. Для их работы зано несколько примеров. В первой схе­
требуется напряжение +5 В; вырабатыва­ ме ком паратор управляет ТТЛ н еп ос­
емые ими бездребезговые логические вы­ редственно. Большинство компараторов
ходные сигналы можно использовать для содержат выходной «/w-транзистор с от­
управления ТТЛ или КМ ОП-логикой, ра­ крытым коллектором и заземленным эмит­
ботающей от +5 В. Поскольку ключи на тером, поэтому остается только добавить
эффекте Холла не имеют изнашиваемых нагрузочный резистор, подключенный к
механических контактов, они практичес­ + 5В. Аналогичную схему можно исполь­
ки вечны (хотя однажды у нас случилась зовать и для КМ ОП, подключая резистор
эпидемия прогрессирующей магнитной к +UCC. И спользовани е двуполярного
анемии клавиатуры на эффекте Холла; мы источника для питания компаратора со­
надеемся, что эта болезнь теперь побеж­ всем не обязательно; многие из них пред­
дена). назначены для работы с одним источни­
Несколько общих замечаний о ключах ком (U_ заземлено), а некоторые будут
как устройствах ввода. Следует иметь в работать даже с одним источником пита­
виду, что для однополюсных ключей на ния 5 В (например, элементы 311, 339,
одно направление (иногда называемых 393 или 372/4).
«тип А») можно использовать 3-й и 4-й На второй схеме показан способ уп­
способы (и, как правило, 6-й), в то время равления КМ ОП-логикой от операцион­
как для однополюсных ключей на 2 направ­ ного усилителя с использованием только
ления (тип «В») следует применять осталь­ последовательно вклю ченного токоог­
ные способы. Помните такж е, что во раничивающего резистора. Диоды защиты
м ногих сл у ч аях нет н ео б х о д и м о с ти входов элементов КМ ОП образуют эф ­
подавлять дребезг ключей, ведь ключи не фективные фиксаторы уровней Ucc и зем­
всегда управляют схемами, чувствитель­ ли, благодаря чему входной ток не превы­
ны м и к ф р о н ту . Ещ е од ин важ н ы й ш ает 10 мА. В третьей схеме о п е р а ­
момент: хорошие ключи обладают обыч­ ционный усилитель переводит прп-тран­
но свойством «самоочистки», позволяю­ зистор в насыщ ение, обеспечивая воз­
щим со х р ан ять чи стоту к о н так тн ы х мож ность управления нагрузкой ТТЛ;
поверхностей (разберите один из ключей диод служит для предотвращения пробоя
и вы поймете, что это означает), тем не перехода база-эмиттер в обратном н а­
менее для очистки контактов желательно правлении (~6 В). В этой схеме Rx и Z),
выбрать параметры схемы таким образом, можно исключить, заменяя одновременно
чтобы через контакты протекал ток не я/?я-транзистор на и-канальный М ОП-
менее нескольких миллиампер. Выбор транзистор. Последнюю схему мы особен­
подходящего материалы для контактов но не рекомендуем, но она вполне рабо­
(например золото), а также специальная то с п о с о б н а . Ф и к си р у ю щ и й ди од на
Сопряжение цифровых и аналоговых сигналов 603

входе ТТЛ-элемента ограничивает отри­


цательный перепад до величины падения
на диоде ниже земли, а внеш ний диод
ограничивает полож ительны й перепад.
Последовательно включенный резистор
предотвращает повреждение схемы, ког­
да на входном транзисторе ТТЛ появля­
ется напряжение обратного пробоя база-
-1 5
эмиттер. Величина резистора выбирается
К LM 311,393 и т.д.
достаточно малой для того, чтобы отвес­
ти входной ток на низком уровне ТТЛ,
+ 15
когда на выходе операционного усилите­
ля появится отрицательное напряжение в
несколько вольт.
Тактовы е входы. Гистерезис. Общее
замечание относительно управления циф­
ровой логикой от операционных усили­
■15 телей. Не пытайтесь управлять такто­
выми входами с помощью приведенных
+5 выше схем; длительность перехода д о ­
вольно большая и, когда входной сигнал
проходит через напряжение логического
порога, в вашей схеме могут появиться
выбросы. Если возникает необходимость
управлять тактирующими входами (триг­
геров, сдвиговых регистров, счетчиков,
одновибраторов и т. п .), лучш е всего
использовать компаратор с гистерезисом
или поставить на входе вентиль с триг­
гером Ш митта (или любой другой эле­
мент такого типа). Аналогичное замеча­
ние относится и к сигналам от транзис­
3,3 к О ш
торных аналоговых схем. Обратимся к
рис. 9.12. Величину резистора R2 выби­
рают таким образом, чтобы гистерезис со­
I Логика
ставлял 50 мВ. Параллельно резистору
обратной связи включен небольшой кон-

+ 15

- 15

Рис. 9.11. Управление логикой от компараторов и


операционных усилителей.
604 Глава 9

денсатор С2, который обеспечивает необ­ рых управляю щ ий элемент аналогичен


ходимую скорость переходов и предотв­ ключу с возвратом на землю.
ращает появление многократных импуль­ Открытый ТТЛ-вход — это «едва ВЫ­
сов при пересечении порога (элемент 311 СОКИЙ». Он располагается на логиче­
особенно склонен к этому). Для предот­ ском пороге (1,3 В), но, поскольку ток
вращения выбросов на входе опорного отсутствует, он не откры вает входной
напряжения важную роль играет ко н ­ транзистор. Вам, возм ож но, довелось
денсатор развязки С,. Во многих случаях видеть «схемы», в которых вход, который
опорное напряжение равно нулю и тогда долж ен бы ть п одклю чен к вы соком у
С, можно не ставить. ТТЛ-уровню , остается незадействован-
ным. Никогда не делайте этого! Это столь
9.06. Некоторые замечания, же не разумно, сколь и опасно: незадейст-
касающиеся логических входов вованный вход имеет нулевую помехо­
устойчивость, поэтому емкостная связь
При разработке TTJT-элементов имеется с любым близлежащим сигналом может
тенденция использовать низкий уровень привести к коротким всплескам к ниж­
в качестве активного входного сигнала нему уровню на входе. В результате на
(к ак и для их ан ал о го в Н С и А С ). выходе комбинационных элементов (вен­
Например, от низкого уровня срабаты­ тилей) появляются выбросы, что само по
ваю т входы У С ТА Н О В К А и С Б Р О С себе уже плохо, но в случае триггеров или
триггеров. Поэтому цепи внешних циф­ регистров будет просто недопустим о,
ровых входных сигналов почти всегда со­ п о с к о л ь к у н е з а д е й с т в о в а н н ы й вход
держат нагрузочный резистор и, будучи СБРОС может сработать в непредсказуе­
активными, находятся в состоянии низ­ мые моменты времени. Выбросы, нару­
кого уровня (отвод тока); это удобно, шающие работу, иногда невозможно уви­
поскольку механические ключи и т. п. деть на осциллографе, они могут иметь
могут работать с возвратом на землю. характер одиночных импульсов длитель­
Кроме этого, возрастает помехоустойчи­ ностью окол о 20 не. В б ольш и н стве
вость, так как цепь с напряжением около случаев вам, возможно, и удастся «выйти
+ 5 В имеет помехоустойчивость 3 В, а сухим из воды», особенно при небольшой
цепь с напряжением около 0 В помехоу­ емкости между незадействованным выво­
стойчивость 0,8 В. Эта присущая ТТЛ дом и соседними выводами, тем не менее
слабость (низкая помехоустойчивость на это не выход из полож ения; если вы
низком уровне) станет очевидной, если попытаетесь найти причину неработоспо­
вы представите себе, что кристалл может собности с помощью логического анали­
интерпретировать отрицательный выброс затора или тестовой клипсы, у вас по­
0,5 В на своей земляной шине как входной лучится новая схема, поскольку допол­
сигнал высокого уровня. Такие выбросы нительные емкости тестового оборудова­
не являются чем-то необычным; их могут ния почти наверняка приведут к импульс­
порождать короткие импульсы тока в ин­ ным переходам к ниж нему уровню на
дуктивности ш ины земли. Дальнейшее незадействованных выводах. Кроме того,
обсуждение этого животрепещущего воп­ зачем создавать заведомо ненадежную
роса отложим до разд. 9.11. схему, если вы знаете, как с помощью
П омехоустойчивость К М О П -логи ки простых соединений сделать ее надежной?
одинакова в любом состоянии, поэтому (Конец тирады.)
при управлении от приборов, имеющих Неиспользуемые входы. Н еиспользу­
открытое состояние, в качестве входных емые входы, которые влияют на логиче­
цепей вы можете использовать резисторы, ское состояние ИС (например вход триг­
подключенные к питанию или к земле. гера СБРО С), должны быть подключены
Чаще используются резисторы, подклю­ соответствующим образом к высокому
ченные к земле, хотя подклю чение к или низкому уровням. Входы, не оказыва­
питанию можно увидеть в схемах, в кото­ ющие влияния (например, входы неис­
Сопряжение цифровых и аналоговых сигналов 60S

пользуемых функциональных частей в мание их выходным характеристикам,


том же корпусе), в ТТЛ можно оставить некритичности в отношении к напряже­
неподключенными, но не в КМ ОП. От­ нию источника питания и способам по­
кры ты е входы н е з а д е й с т в о в а н н о го дачи сигналов и защиты входов.
КМ ОП-вентиля могут, например, смес­ Напряжение питания и выходы. Боль­
титься к логическому порогу, выходы при ш инство компараторов имеют выход с
этом займут положение на половине н а­ открытым коллектором, предназначен­
пряжения питания, т. е. оба выходных ный для запуска логических входов (ра­
МОП-транзистора будут открыты, потреб­ зумеется, с резистором подвески на шину
л яя значительны й то к класса А. Это питания) и сильноточных/высоковольт­
приведет к чрезмерному потреблению ных нагрузок. Элемент 311, например,
тока и может даже вызвать отказ эле­ может управлять нагрузкой, подключен­
ментов с больш им числом выходных ной к и сточ н и ку п и тан и я до 40 В и
каскадов. Лучше заземлить все входы потребляющей ток до 50 мА, а элемент
неиспользуемых функциональных частей 306 м ож ет раб о тать с ещ е б ольш и м
в каждом КМОП-кристалле. током. Эти компараторы имеют вывод
В ТТЛ можно не обращать внимания на земли в дополнение к выводам отрица­
неиспользуемые функциональные части тельного и положительного питания, по­
кристалла, как и на несущ ественны е этому напряжение на нагрузке достигает
входы используемых схем. Н априм ер, уровня земли независимо от напряжения
можно оставить неподключенными входы питания. Компараторы повышенного бы ­
параллельной загрузки счетчика, если вы стродействия (521, 527, 529, 360, 361,
никогда не активизируете линию ЗА­ Am686, СМ Р-05, LT1016 и VC7695/7) в
ГРУЗКА. больш инстве случаев имеют выходные
каскады с ак ти в н о й н агрузкой . О ни
9.07. Компараторы предназначены для управления 5-вольто-
вой цифровой логикой и обычно имеют
Мы вкратце уже упоминали о компара­ 4 вывода питания — U+, U_, UKK (+5) и
торах в разд. 4.23 для того, чтобы проил­ земля.
люстрировать применение положитель­ Следует обратить внимание на то, что
ной обратной связи (триггер Ш митта) для работы большинства компараторов
и показать, что специализированные ИС необходимо использовать источники и
ком параторов обладаю т сущ ествен н о положительного и отрицательного напря­
лучшими характеристиками, чем универ­ жения даже в том случае, если на входе
сальные операционные усилители, исполь­ никогда не появляется отрицательны й
зуемые в качестве компараторов. Эти сигнал. Примерами могут служить эле­
преимущества (малые задержки, высокая менты 306, 710 и 711, а также ком па­
скорость нарастания выходного нап ря­ раторы с активной подгрузкой, перечис­
жения и сравнительно высокая устойчи­ ленные выше. Необходимость иметь ис­
вость к большим перегрузкам) достига­ точник отрицательного напряжения для
ются ценой полезных для операционных обеспечения работы компаратора в ап ­
усилителей свойств (в частности, ценой паратуре, использующей только положи­
точного управления фазовым сдвигом по тельное напряжение, доставляет опреде­
частоте). Компараторы не имеют частот­ ленные неудобства. В связи с этим по­
ной компенсации (разд. 4.33) и не могут лезно знать характеристики компарато­
использоваться в качестве линейных уси­ ров, которые могут питаться лиш ь от по­
лителей. лож ительного напряж ения (наприм ер,
Компараторы играют важную роль при 311, 319, 339, 393, 365, СА3290, НА4905,
сопряжении аналоговых (линейных) вход­ С М Р -0 1 , С М Р -0 2 , LT1016, A D 790 и
ных сигналов с миром цифровой техники. TLC 372/4). Д ействительно, они могут
В данном разделе мы подробно рассмот­ работать с одним источником питания
рим компараторы, уделяя основное вни­ 5 В; это существенное достоинство для
606 Глава 9

цифровых систем. При работе от одного достаточно всего нескольких милливольт


источника + 5 В компараторы 339, 393, для того, чтобы разность на входе превы­
365, САЗ290, НА4905, LT1017/18, AD790 сила 2 мВ и возни кли м ногократны е
и TLC372/4 имеют входной диапазон в переходы и колебания. Именно по этой
реж име си н ф азн о го си гн ал а, д о с т и ­ причине для создания хорошо работаю­
гающий уровня земли. Они созданы спе­ щей чувствительной схемы с компарато­
циально для работы с одним источником ром необходим соответствующий гистере­
питания и за исключением элементов 4905 зис (с небольшим конденсатором парал­
и 790 имеют всего два вывода питания л ел ь н о рези стору об ратн ой с в я зи ) в
(U+ и земля); при работе от расщеплен­ сочетании с тщательно продуманной трас­
ного питания выход будет опускаться до сировкой и развязками по питанию. Ста­
U_. Кроме того, некоторые из них обла­ райтесь вообще избегать управления вхо­
дают довольно необычным свойством — дами компаратора высокоимпедансными
они способны работать от одного источ­ сигналами; используйте выход операци­
ника питания с напряжением лишь +2 В. онного усилителя. Если быстродействие
Говоря об источниках питания, следует не требуется, старайтесь также избегать
упомянуть, что некоторые компараторы применения быстродействующих компа­
спроектированы для работы при малом токе раторов, которые обостряют все эти про­
питания, в общем случае, менее 0,5 мА; блемы. Некоторые компараторы достав­
примерами могут служить компараторы ляют в этом отношении больше беспо­
LP311, LP339, TLC373/4, TLC339/393, койств, чем другие; мы столкнулись с
TLC3702/4, СМ Р-04, LT1017/8, МС14574 массой трудностей, применяя превосход­
и LP365. Последние два элемента пред­ ный во всех других отношениях компара­
ставляют собой счетверенные ком пара­ тор 311.
торы с программируемым рабочим то­ Еще одно предостереж ение о тн о си ­
ком. Малая мощ ность достигается це­ тельно входов. Некоторые компараторы
ной низкого быстродействия с временем обладают весьма ограниченным диапазо­
реакции порядка нескольких микросе­ ном напряжений на дифференциальных
кунд. Для полного знакомства с маломощ­ входах, некоторые типы всего 5 В (напри­
ной электроникой обратитесь к гл. 14; мер, СМ Р-05, 685-7 и VT969/7). В этих
в табл. 14.8 перечислены маломощные случаях для защиты входов возможно по­
компараторы. надобятся фиксирующие диоды, посколь­
Входы. Входные цепи компараторов ку избыточное напряжение на дифф ерен­
требуют некоторых мер предосторожнос­ циальных входах приведет к уменьшению
ти общего характера. Везде, где это воз­ h2b и вызовет постоянные ошибки сме­
можно, следует использовать гистерезис щения входа, а в ряде случаев выход из
(разд. 4.24), в противном случае возмож­ строя перехода база-эмиттер входного кас­
ны ошибочные переключения. Для того када. Универсальные компараторы в этом
чтобы понять причины, вообразите себе отношении лучше; типовое значение ди­
компаратор без гистерезиса, в котором ап азон а напряж ений на д и ф ф ер ен ц и ­
дифференциальное входное напряжение альных входах составляет ± 30 В (напри­
проходит через уровень 0 В, медленно мер, 311, 393, LT1011 и т. п.).
изменяясь будучи аналоговым колебани­ Одной из важных особенностей входов
ем. Разница на входах всего в 2 мВ при­ компараторов является входной ток сме­
ведет к изменению состояния выхода с щения и его зависимость от дифферен­
временем переклю чения менее 50 не. циального входного напряжения. Во вход­
Неожиданно в вашей схеме возникают ных каскадах большинства компараторов
быстрые логические перепады амплитудой используются биполярные транзисторы с
3000 мВ, сопровождаемые импульсами входными токами смешения от десятков
тока в цепях питания и т. п. Можно про­ н ан о ам п ер до д есятков м и кроам п ер.
сто чудом избежать наложения этих быст­ Входной каскад представляет собой диф­
рых колебаний на входной сигнал, ведь ф еренциальны й усилитель с больш им
Сопряжение цифровых и аналоговых сигналов 607

ном токе, на входе целесообразно доба­


вить повторитель с согласованной парой
полевых транзисторов,
И последнее замечание относительно
входных характеристик: температурные
градиенты на кристалле, обусловленные
рассеиванием мощ ности на выходных
каскадах, могут ухудшить указанное в
спецификации напряжение смещения вхо­
дов. В частности, в связи с тем что тепло,
генерируемое на выходном каскаде и за­
висящее от состояния, может привести
к переключению входа, для входных сиг­
налов вблизи 0 В (диф ф еренциальное
напряжение) возможен эффект «урчания
двигателя» (медленные колебания на вы­
Рис. 9.13. Зависимость входного тока смешения от
диференциального входного напряжения для ком­ ходном каскаде).
паратора СМР-0,2. (С разрешения фирмы Precision Общее быстродействие. Обычно полага­
Monoliths, Inc.) {/ = ± 15 В; Г01ф = 25 °С. ют, что компаратор представляет собой
идеальную переключательную схему, в
усилением, поэтому при переходе ком­ которой любые сколь угодно малые изме­
паратора через порог ток смещения изме­ нения полярности дифф еренциального
няется. Кроме того, внутренние схемы входного напряжения приводят к мгно­
защиты могут вызвать еще большие изме­ венному изменению на выходе. В дейст­
нения тока смещения в нескольких воль­ вительности же для малых входных сиг­
тах от порога. На рис. 9.13 показана ти­ налов компаратор ведет себя как усили­
повая зависимость тока смещения (для тель, а его переключательные характерис­
СМР-02). Небольшая ступенька тока при тики зависят от усилительных свойств на
О В (диф ф еренциальное напряж ение) высоких частотах. В результате незначи­
представляет собой в действительности тельные перегрузки по входу (т. е. при
плавный переход примерно при 100 мВ; сигналах, больших, чем это необходимо
это соответствует изменению напряжения, для насыщения на постоянном токе) при­
которое необходимо для полного переклю­ водят к увеличению времени распростра­
чения входного дифференциального уси­ нения и, как правило, к затягиванию
лительного каскада из одного состояния фронта и спада на выходе. В техничес­
в другое. ких данных на компараторы обычно име­
Для тех применений, где необходимо ется графа «время отклика для различных
обеспечить работу при крайне низком вход­ перегрузок по входу». Некоторые значе­
ном токе, используются компараторы с ния этого параметра для компаратора 311
полевыми транзисторами на входе. При­ приведены на рис. 9.14. Обратите вни­
мерам и могут служ и ть сд в о ен н ы е мание на снижение параметра в конфигу­
компараторы с полевыми М ОП-транзис- рации, когда выходной транзистор ис­
торам и на входе С А 3290, T L C 3 7 2 , пользуется как повторитель, т. е. без уси­
TLC3702 и TLC393, а такж е LF311 с ления. Увеличение входного напряжения
полевым транзистором с р —и-переходом, ускоряет процессы, поскольку снижение
вариант известного ком паратора 311. коэффициента усиления на высоких час­
Последний имеет максимальный входной тотах компенсируется большим сигналом.
ток 50 пА (311-250 нА) при почти пол­ Кроме того, увеличение внутренних то­
ном сохранении напряжения смещения ков усилителя позволяет ускорить заряд
и быстродействия. Там, где необходимы внутренных емкостей.
характеристики какого-то конкретного В табл. 9.3 приведены характеристики
компаратора, но при более низком вход­ большинства современных компараторов.
608 Глава 9

Время, МКС Время, мкс

Время, мкс

Рис. 9.14. Время отклика компаратора LM311 при различных выходных перегрузках. (С разрешения фир­
мы National Semiconductor Corp.) UK = ± 15 В; Гокр = 25 °C.

9.08. Управление внешней цифровой


нагрузкой от КМОП- и ТГЛ-элементов рабочих токах от 5 до 20 мА; используя
некоторые самые современные высокоэф­
Управление с помощью ТТЛ- и КМ ОП- фективные СИД, вы получите хорошую
элементов устройствами релейного типа, светоотдачу всего при нескольких мил­
такими как лампы (светодиоды, СИД), лиамперах (фирма Stanley выпускает ос­
реле, устройства отображ ения и даже л еп и тел ьн о яр к и е п ри б оры ). В место
нагрузки с переменным током, не доста­ дискретных СИД и резистора можно ис­
вит вам особых трудностей. На рис. 9.15 пользовать СИД с интегральным токо­
представлены некоторые способы управ­ ограничивающим резистором (или регуля­
ления. На схеме а показан стандартный тором тока), которые выпускаются мно­
способ управления СИД-индикатором от гими фирмами; посмотрите каталоги фирм
5-вольтовой логики. ТТЛ-элементы луч­ Dialight, General Instrum ent, Siemens и
ше работают на отводе тока, чем на отда­ Hewlett-Packard.
че, поэтому СИД подключается к + 5 В; На схеме 6 показано, как управлять
для КМ ОП-элементов СИД можно под­ 5-вольтовы м слаботочны м реле с п о ­
ключать либо к U+, либо к земле. СИД мощью логических элементов, отводя ток
ведет себя как диод с прямым падением по типу схемы а; диод шунтирует и н ­
напряжения от 1,5 до 2,5 В при типовых дуктивные выбросы. Реле, показанное на
Сопряжение цифровых и аналоговых сигналов 609

НС(Т)

- 74LS26
4
От +5 до ЗОВ

74С 40107
( м а к с . 5 6 В)
Нагрузка 4000 В

5-В логика -7 5 4 5 1

Рис. 9.15. Управление нагрузками от логических


уровней.
Таблица 93. Компараторы

S = И сто ч н и к и п и т ан и я, В
* со

Тип со С и н ф азн ы е вход- П о л о ж и тел ь- О триц атель­


S
ны е н ап р яж ен и я, § ный ны й
Вг)
' -1
s!
м ин. макс.
2D Е ю гсз
С
О. S
са - Ь 3 < к
СМР01 РМ 1 110 2,8 0,9 - и и+ 11 5 30 0 -30
СМР02 РМ 1 190 0,8 0,003 - и и+ 11 5 30 0 -30
СМР04 РМ 4 1300 1 0,1 + -0,3 30 36 3 36 0 -30
СМР05 РМ 1 40 0,6 1,2 - и_ и+ 5 5й» 6 -5,2й» -18
LM306 NS 1 28 6,5 5 - -7 7 5 12“' - -3 -12
LM311 NS 1/2 200 3 0,1 — V - 30 U+ 30 30 5 30 0 -30

LF311 NS 1 200 4 0,00005 _ и - 30 К +30 30 5 30 0 -30


LP311 NS 1 2000 7,5 0,1 - и V+30 30 3 30 0 -30
LM319 NS 2 80 4 0,5 - и v+ 5 5 30 0 -30
LM339 NS 4/2 1300 5 0,25 + -0,3 36 36 2 36 - -

LP339 NS 4 10000 5 0,025 + -0,3 36 36 2 36 - -


TLC339 TI 4/2 2500 5 0,005"' + -о.з V, 18 3 16 - -

TLC3702 TI 2/4 2500 5 0,005"' + -0,3 18 3 16 - -

LM360 NS 1 14 5 20 _ и и+ 5 4,5 6,5 -4,5 -6,5


LM361 NS 1 14 5 30 - -6 6 5 5 15К| -6 -15
LP365 NS 4 2000 6 0,075 + -0,3 36 36 2 36 0 -36

TLC372 TI 2/4 650 10 1 пкА"' + -0,3 18 18 2 18 - -

СМР404 PM 4 3500 1 0,05 + -0,3 ut l/+ 5 30 _ _


TL510C TI 1/2 30 3,5 20 - -7 1 5 10 14 -5 -7
NE521 SN 2 11 7,5 20 - -5 5 6 5"» - —5й' -

NE522 SN 2 14 7,5 20 - -5 5 6 5'" - -5"' -

NE527 SN 1 33 6 2 - -6 6 5 5 10 -6 -10
NE529 SN 1 20 6 20 - -6 6 5 5 10 -6 -10
Ат685 AM 1 6 2 10 - -4 4 6 6"» - -5,2"' -
Ат686 AM 1 9 2 10 - -4 4 6 5™ - -6"' -
Ат687 AM 2 7 2 10 _ -4 4 6 5“' _ -5,2"'
Bt687 ВТ 2 1,8 -з,з 3,3 5“' -5,2"»

AD790K AD 1 35 0,3 3 + -18 18 15 3,5 18 0 -18

TL810C TI 1/2 30 3,5 20 - -7 7 5 10 14 -5 -7

LT1011 LT 1 150 0,5 0,025 — U -0,6 U++0,6 36 3 36 0 -36


LT 1016 LT 1 10 3 10 - u_ ut 5 4,5 7 0 -7

LT1017 LT 2 20000 1 0,015 + -0,3 40 40 1,1 40 _


LT1018 LT 2 6000 1 0,075 + -0,3 40 40 1,1 40 - -

LT1040 LT 1 80000 0,5 0,0003"' + и - 0,3 f/++0,3 2,8 16 - -

SP1650B PL 2 3,5"’ 20 10 - -3 2,5 5 5"' - -5,2"» -


EL2018C EL 1 20 3 0,3 - v_ £/ 36 4,5 18 -4,5 -18

EL2019C EL 1 6м» 5 0,3 - u_ 36 4,5 18 -4,5 -18


Обший Выходные параметры Примечание

мин. макс.

О+ 511' 3
S §х
&
U
5 36 500 - + + + - + - - - н 32
5 36 500 - + + + - + - - - н 32 Прецизионный
3 36 200 + + + - + - - - - 3 30 Прецизионный вариант 339
9,5 24 16 + - + + - - - + 3 - Быстрый прецизионный
- 30 40 + — + + — + - + — 3 24 Большой выходной ток
4,5 36 200 + + + + + н 40 Склонен к генерации; широко
распространен;
сдвоенный-2311
4,5 36 200 - + + + + - - - - н 40 311 на МОП-транзисторах
3 36 200 - + + + + - - - - н 40 Маломощный 311
4,5 36 40 - + + + + - - - - н 36
2 36 200 + + + + 3 30 Самый распространенный;
маломощный; сдвоенный-
393
2 36 500 + + - + - - - - 3 30 Маломощный вариант 339
3 16 + + + + 3 18 На МОП-транзисторах;
" сдвоенный-393
3 16 + + + + 3 и. На МОП-транзисторах;
счетверенный-3704
9 13 3 + — + + — + + — - 3 — Аналогичен 760
11 30 3 + - + + - + + + - 3 7 Аналогичен 529
4 36 300 + + + + н 36 Программируемый; техни­
ческие данные при
I = 10 мкА
2 18 200 + + + — + — — — — 3 18 На МОП-транзисторах;
счетверенный-374
5 30 400 + + + — + - - - — 3 — Маломощный
15 21 33 + - + + - + - + - 3 - TL514С—сдвоенный
9,5 10,5 - + - + + - + - + - 3 -
9,5 10,5 - + - + + - + - + - 3 -
10 20 - + - + + - + + + - 3 15 529 со схемой Дарлингтона
10 20 - + — + + - + + + — 3 15
9,7 14 1600 + - - + - - + + + э - ЭСЛ; как СМР-07
9,7 14 + + + — + — + 3 — Самый быстрый из ТГЛ-ком-
параторов
9,7 14 - + — — + - - + + • э - ЭСЛ
— 12 100 + — + — + + + э ЭСЛ; самый быстрый 687
типа
3,5 36 10 + + + + + + 3 Быстрый с одним источником
+5В
15 21 33 + ■” + + + ■
“ 3 — 510 без стробирования;
820 С-сдвоенный
3 36 500 - + + + + _ - + н 50 Улучшенный 311
5 14 3 + + + + + + ■ 3 Самый быстрый с одним
“ источником +5 В
1,1 40 500 + + + - - + - - - 3 - Маломощный
1,1 40 2000 + + + - - + - _ - 3 - Маломощный
2,8 16 - + + + - + + + - 3 - Микромощный,
- - - + - - + - - - + + э - ЭСЛ; 1651 быстрее
9 36 40 + + + + •“ + + 3 - Быстрый, точный, высоко­
вольтный
9 36 - + - + + - + - + + 3 - Быстрый, высоковольтный,
тактируемый
612 Глава 9

Таблица 9.3. Компараторы


Источники питания, В

напряжение илиф’ Ва1


Абс. макс. диф. вход
Количество в корпусе

Время переключения
Тип Синфазные вход­ Положитель­ Отрицатель­
ные напряжения, ный ный

{/ся< (макс.), мВ
3 В"
2

1
5Н мин. макс. мин. макс. мин. макс.

(тип.), НС
S
ю 6* i 1
Л те
| а, щ
п X
5 5
СА3290А RC 2 1000 10 0,00004 + U -5 U+ + 5 36 4 36 - -

RC4805A RA 1 22 0,3 1,2 - -4 4 3 4,5 5,5 -4,5 -16

НА4905 НА 4 150 7,5 0,15 + и_ £/+ 15 5 30 0 -30


VC7695 VT 1 1,5 5 5"» - -5 5 3,5 - 6 - -6
VC7697 VT 2 2 5 5») — -5 5 3,5 - 6 — -6

SP9685 PL 1 2,3 5 20 - -5 3 5"> 5И) - -5,2"» -

SP9687 PL 2 2,8 5 20 - -5 3 5"> 5»> - —5,2И) -

МС14574 МО 4 20000 30 0,00005 + -0,5 £/++0,5 и„ 3 15 — -

SP93808 PL 8 1,0 3,5 9 - £/_ £/+ 3,8 1,5 7,3 —4,9 -5,5

*’ см. сноску к табл. 4.1; 61 на ступеньке 100 мВ с перегрузкой 5 мВ; ' рабочий входной диапазон в синфазном
режиме включает отрицательное напряжение; г) максимальный диапазон без пробоя входов; вне диапазона
работоспособность не гарантируется; 11 максимально допустимое напряжение между входными выводами;
'• способность принимать сигналы обеих полярностей и управлять униполярной логикой; ж) Э - выход предназ­
начен для управления ЭСЛ (яря-транзистор с открытым эмиттером); 3 —на выходе земля; Н - низкий уровень от

схеме, выполнено в стандартном корпусе (U C N /U D N /U L N ), включающей превос­


DIP с сопротивлением обмотки 500 Ом ходные октальные формирователи в кор­
(потребляемый ток составляет 10 мА, что пусе D IP. В схеме д мы использовали
соответствует возможностям большинства низкопороговы й л-канальны й мощ ный
элементов 5-вольтовой логики). Схемы полевой транзистор; благодаря высокому
в, г и д предназначены для управления входному импедансу транзистора такой
высоковольтной нагрузкой. На схеме в способ управления особенно удобен. При
вентиль 74LS26 с открытым коллектором, управлении TTJl-уровнями для обеспече­
работающий от источника 15 В, управ­ ния нормальных условий работы лучше
ляется 12-вольтовым реле, а на схеме г использовать резисторную подвеску к пи­
«сдвоенный периферийный формирова­ танию, поскольку минимальный гаранти­
тель» 75451 управляет некой неопреде­ рованный в ТТЛ-элементах высокий уро­
ленной нагрузкой в диапазоне н ап ря­ вень (2,4 В) является слишком низким.
жений до 30 В и токов до 300 мА. Вы­ Рассмотренные выше способы могут
пускаются также аналогичные приборы с оказаться неприемлемыми для элементов
открытым коллектором, предназначенные НС, LS или 74С из-за ограничений по вы­
для работы с напряжением 80 В и даже с ходу (отводящ ий ток составляет соот­
большими, чем в предыдущем случае, то­ ветственно 5, 8 и 3,5 мА). Для управления
ками; познакомтесь с серией DS3600, вы­ большими СИД можно воспользоваться
пускаемой фирмой National, и с серией элементами типа 74AS1004 (сшестеренный
мощных формирователей фирмы Sprague инвертор с током отвода или отдачи
Сопряжение цифровых и аналоговых сигналов 613

Продолжение таб. 9.3.


Выходные параметры

Обший Примечание

мин. макс. В
Йъ 1
а ?Е
й 5I * С.
6 s $ 2 S

4 36 150 + + + + - - - 3 36 На МОП-транзисторах
22 20 + + + - + + 3 Самый быстрый из преци­
зионных
5 33 400 - + + + - + - - - н - Гибкий выходной каскад
- 12 - + - - + - _ + + э - Сверхбыстрый
- 12 - + - - + - “ + - + э - Самый быстрый из сдвоенных
12 300 + + - + + + э ЭСЛ; быстрый вариант
'
Аш685
12 300 + + - + + + э ЭСЛ; быстрый вариант

~
Ат685
3 18 100 + + + + 3 и+ КМОП, программируемый;
технические данные при
100 мкА
6,5 13 20 + - + - + + + э°> - Сверхбыстрый октальный

насыщенного л/ю--транзистора, который можно подключать к любому отличному от нуля напряжению;э> макси­
мальное напряжение, к которому можно подключить выход с внешним резистором;и) номинальное значение; к) и
дополнительный источник +5 В для питания логики;л) перегрузка 100 мВ; м1 время установки; н) ток смешения;
0) для выхода ЭСЛ используйте источники —5,2 и —10 В; п) типовое значение.

48 мА). При управлении сильноточной Ток эмиттера, а следовательно и макси­


нагрузкой от логических элементов следует мальный ток коллектора (нагрузки), в
позаботиться о массивной земляной шине схеме з определяется резистором (или
для подвода земли к кристаллу, поскольку положительным предельным током вен­
ток нагрузки возвращается на землю источ­ тиля). В улучшенной схеме и в качестве
ника питания через кристалл. В некото­ буфера используется и/>л-повторитель;
рых случаях целесообразно использовать диод, включенный последовательно с вы­
отдельный путь возврата земли. ходом, удерживает нагрузку от перепадов
На схеме е показано применение прп- выш е земли. В обоих случаях м акси ­
транзистора для переключения сильноточ­ мальный ток нагрузки равен току эмиттера
ной нагрузки с помощ ью 5-вольтовой />л/7-транзистора. Аналогичные схемы вы­
логики. Для коммутации больших токов пускаются в интегральном исполнении;
используйте второй транзистор, как по­ он и имею т К М О П /Т Т Л -совм ести м ы е
казано на схеме ж. На схемах з, и пред­ входы и высоковольтные выходы с н а­
ставлен способ управления нагрузками, грузочной способностью по току до не-
подключенными к отрицательному полю­ сольких сотен миллиампер. Попробуйте
су источника питания. Высокий выход­ применить элементы DS3687 (300 мА,
ной уровень открывает /^яр-транзистор - 56 В) фирмы National и распространен­
и напряжение насыщения на коллекторе ную серию UD N фирмы Sprague.
становится выше потенциала земли на В том случае, когда вы используете
величину падения напряжения на диоде. слаботочную логику 4000В/74С с выход­
614 Глава 9

ным током, едва достигающим миллиам­ используют логические уровни на входе


пера, следует предусмотреть специальный и на выходе. Примером может служить
мощный формирователь, даже для све­ о п т р о н 7 4 0 L 6 0 0 0 ф и р м ы G e n e ra l
тодиода. На схеме к показан надежный Instrument; уровни на входе и выходе со­
сшестеренный буфер, управляющий све­ ответствую т уровням LS, врем я р а с ­
тодиодом. Этот элемент может работать пространения составляет 60 не (15 МГц),
с отводом то к а от 5 до 50 мА при напряжение изоляции —2500 В. В боль­
напряжении питания от 5 до 15 В соот­ ших количествах его можно приобрести за
ветственно (нагрузочная сп особн ость 3 долл.
выхода увеличивается с увеличением н а­ Наиболее простым способом управле­
пряжения питания). В схемах л, м ис­ ния нагрузкой переменного тока являет­
пользуются еще более мощные ф орм и­ ся способ, основанный, как показано на
р о в ател и -4 0 1 0 7 , содержащий мощ ный схеме о, на применении твердотельного
л-канальный М О П -транзистор на выхо­ реле. Реле этого типа представляет собой
де с откр ы ты м сто к о м (о тв о д я щ и й симистор с оптической связью с логи­
ток составляет от 16 до 50 мА при напря­ ческим входом и нагрузочной способ­
жении питания от 5 до 15 В соответствен­ ностью по току от 1 до 40 А при ком­
но), и DS3632 с мощным «^«-формирова­ мутации нагрузки с переменным напря­
телем по схеме Дарлингтона, рассчитан­ жением 115 В. Слаботочные реле в боль­
ным на ток 300 мА. Можно, разумеется, шом разнообразии выпускаются в кор­
всегда использовать и дискретные внешние пусах типа DIP (например, серия «интег­
транзисторы, как в схемах ж, и, но их ральны х ключей» ф ирмы International
применение ограничено базовым током ме­ Rectifier), в то время как более мощные
нее миллиампера. Дискретный я-каналь- реле выпускаются в виде прямоугольных
ный МОП-транзистор в схеме д особенно блоков со сторонами, равными примерно
хорош о р аб о тает со « с л а б е н ь к и м и » 2 дюймам, предназначенных для установ­
КМ ОП-элементами. ки на шасси. С другой стороны, нагрузки
Для управления удаленной нагрузкой переменного тока можно коммутировать
или нагрузкой с независимой системой с помощью обычного реле, управляемого
заземления лучше всего использовать логическим элементом. При этом, однако,
оптрон. Этот прибор содержит светоди­ обязательно изучите технические данные,
од (на стороне формирователя), который поскольку большинство реле, управляе­
освещает фотоприемник (на стороне на­ мых логикой, не способны коммутиро­
грузки). Оптроны выпускаются на раз­ вать большие нагрузки переменного тока
личные скорости с различными конфигу­ и вам понадобится логическое реле для
рациями входов/выходов (логический того, чтобы уп равлять вторы м более
вход или просто светодиод; логический мощным реле. Почти во всех реле исполь­
выход, выход с насыщенным транзисто­ зуется коммутация по типу «перехода че­
ром (или схема Д арлин гтон а), выход рез нуль» (или «нулевого напряжения»),
с М ОП-транзистором или выход с ти­ которая в действительности является ком­
ристором или симистором; см. рис. 9.26). бинацией включения по нулевому напря­
Типичным примером является распрост­ жению и выключения по нулевому току;
раненный элемент 4N36, показанный на это весьма полезная особенность, она
рис. 9.15 н; этот элемент содержит прос­ предотвращает попадание выбросов и по­
той светодиод на входе, «ри-транзистор мех в ш ину питания. М ного «мусора»
на выходе и может работать при напряже­ на силовую ш ину п ер ем ен н о го то к а
нии 2500 В с временем переклю чения попадает от симисторных контроллеров,
4 мкс. Минимальный коэффициент пе­ в которых коммутация осуществляется
редачи по току составляет 1,0, поэтому ос­ не в моменты перехода через нуль; тако­
тается только пропустить через светодиод вы, например, регуляторы света с фазо­
ток, равный максимальному выходному вым уп равлен ием для осветительны х
току. Существует ряд оптронов, которые ламп, термостатов и двигателей. В каче-
Сопряжение цифровых и аналоговых сигналов 615

стве альтернативы оптической связи ,


использованной в схеме о, иногда можно
встретить импульсный трансформатор для
подвода импульсов запуска к симистору
или тиристору.
Для управления 7-сегментными цифро­
выми индикаторами проще всего исполь­
зовать элементы, объединяющие деш иф­
ратор и формирователи. Разнообразие их
поразительно — с формирователями для
СИД и для жидкокристаллических инди­ Рис. 9.16. Входная схема я-МОП-логики в режиме
каторов, с возможностями отвода и отда­ обогащения.
чи тока и т. п. Типичными примерами
являются элементы «регистр/дешифратор/ тель с малыми геометрическими разме­
формирователи» типа 74НС4511 (СИД с рам и, задаю щ ий необходимы й ток от
общим катодом) и 74НС4543 для жидко­ шины питания (резистор занял бы слиш­
кристаллических индикаторов. Более под­ ком много места, поэтому в качестве
робно об этом будет изложено в разделе стоковой нагрузки всегда используется
по оптоэлектронике (разд. 9.10). М О П -транзистор); часто используется
и другой символ для изображ ения Т2.
9.09. Сопряжение n-МОП БИС В современных схемах кремниевых вен­
тилей пороговое напряж ение входного
Большинство схем большой и очень боль­ транзистора находится в диапазоне от
шой степени интеграции (БИ С, СБИС) 1 до 1,5 В, поэтому вход можно непо­
изготавливаются сейчас с использовани­ ср е д с т в е н н о п одк лю ч ать к ТТЛ или
ем КМОП-технологии; они обладают та­ КМ ОП-логике. В некоторых старых схе­
кой же привлекательной способностью к мах порог может оказаться в диапазоне
сопряжению, как 5-вольтовые логические от 2 до 3 В, в этих случаях для управления
КМОП-вентили, и многими другими воз­ от ТТЛ лучш е и спользовать резистор
можностями кристаллов средней степени 1-10 КОм, подключенный к шине питания;
интеграции (С И С ), р ассм отрен н ы м и для КМОП обычно этого не требуется.
выше. Однако долгое время кристаллы Выходы л-МОП-элементов. Выходная
БИС и СБИС изготавливались только на ступень 5-вольтовой я-М О П -логики по­
«-канальных МОП-транзисторах в режи­ казана на рис. 9.17. Тх представляет со­
ме обогащения для того, чтобы упростить бой ключ, а Т2~ истоковый повторитель.
технологический процесс и получить бо­ Для того чтобы установить на выходе ниж­
лее высокую плотность. Такая я-М О П - ний уровень, на затвор транзистора Г, по­
логика получила широкое распростране­ дается напряжение + 5 В; напряжение на
ние, поэтому важно знать, каким обра­ выходе при этом будет ниже 0,5 В, даже
зом м ож но осущ ествить со п р яж ен и е
я-МОП-логики и КМ ОП/ТТЛ и как обес­
печить связь входов/выходов я-М О П -ло-
гики с внешними дискретными схемами.
Большинство кристаллов n-М О П БИ С
совместимы с ТТЛ, тем не менее здесь
есть несколько тонких моментов, которые
следует рассмотреть.
Выходы л-МОП-элементов. На рис. 9.16
показана входная цепь интегральной схе­
мы на и-канальных МОП-транзисторах,
п р едназначенн ая для работы с ТТЛ.
Т{ — инвертор, а Тг — истоковый повтори- Рис. 9.17. Выходная схема я-МОП-логики.
616 Глава 9

Ток отдачи/ отк отвода, мА

Рис. 9.18. Типовые выходные характеристики по


току я-МОП-элементов, 1 - ток отдачи; 2 — ток
отвода; 3 - точка запуска схемы Дарлингтона.

при отводе тока в несколько миллиампер.


Ситуация в состоянии высокого выход­
ного уровня несколько ухудшается: при
минимальном высоком выходном TTJ1-
уровне + 2,4 В напряжение затвор—исток
составляет всего 2,6 В, что приводит
к ср авн и тел ьн о вы соком у зн ач ен и ю
сопротивления Лвкл; для более высоких вы­
ходных напряжений ситуация быстро ухуд­
шается.
Кривые на рис. 9.18 иллюстрируют это
полож ение. В результате нагрузочная П 2 7 0 Ом
способность я-М ОП-выхода составляет NM0S
всего 0,2 мА (отдача тока) при напря­ -t> ° -
нет Г
Альтернат. П 2 7 0 О м
жении на выходе + 2,4 В. Это вполне ACT
TTL
допустимо для управления ТТЛ-входами,
но выходит за пределы допустимого для
5-вольтовой КМ ОП-логики (используйте
резистор, подключенный к шине питания,

или вставьте вентиль НСТ или ACT);
подобная неприятная ситуация изображе­ Рис. 9.20. Управление нагрузками с выходов
на на рис. 9.19. и-МОП-элементов.
Для работы СИ Д с уровням и токов
мультиплексируемого устройства отобра- жения (25—50 мА во включенном состоя­
нии) выход я-М О П -элемента должен от­
давать ток около 1 мА при +4,1 В. Но
это невозможно, поскольку напряжение
и ш должно при этом быть всего 0,9 В,
а может быть даже ниже порогового на­
пряжения полевого транзистора. Вспом­
3,5 мА Индикатор
S на GaAsP ните ещ е, что все схемы 5-вольтовой
I Z L jr (составной) логики долж ны ф ункционировать при
отклонении напряжения питания ± 10%,
т. е. при напряжении +4,5 В. Для управ­
ления светодиодами (или другими силь­
ноточными приборами) от л-МОП-эяемен-
тов желательно использовать схемы, пока­
Рис. 9.19. занные на рис. 9.20.
Сопряжение цифровых и аналоговых сигналов 617

В первой схеме низкий выход я-М О П - Хотя мы будем и дальше использовать в


элемента отбирает ток 2 мА, переводя качестве примеров различные «волшебные»
/>я/ьтранзистор в состояние полной про­ приборы по мере их необходимости, нам
водимости. На второй схеме прп-тран­ представляется уместным обратиться к об­
зистор схемы Дарлингтона переключается ласти оптоэлектроники, поскольку с ней
в открытое состояние малым выходным связаны некоторые обсуждаемые здесь про­
током и-М ОП-элемента, находящегося в блемы сопряжения логики.
состоянии высокого уровня. В этой схе­ И ндикаторы . Э лектронны е приборы
ме ВЫ СОКИЙ выход фиксируется на выглядят более привлекательно и проще
уровне падения напряжения на двух дио­ в применении, если на них есть разно­
дах выше земли, что может показаться не цветные лампочки. В этой области све­
совсем «дружелюбным» обстоятельством, тодиоды полностью вытеснили все пре­
но оказывается, что выходы я-М О П -эле- дыдущие технологии. Вы можете приоб­
ментов проектируются с таким расчетом, рести красные, желтые и зеленые инди­
чтобы их можно было таким образом каторы, причем в различных корпусах,
закорачивать на землю; причем доста­ наиболее удобными из которых являются
точно малые выходные токи получают лампы для монтажа на панели и различ­
возможность управлять базой транзистора ные типы индикаторов для монтажа на
с заземленным эмиттером в схеме Дар­ печатной плате. Каталоги представляют
лингтона без нарушения работоспособно­ поразительное их разнообразие по раз­
сти. Типовой я-М ОП-выход может отда­ мерам, цвету, светоотдачи и углу излу­
вать 2 МА при +1,5 В в базу схемы Дар­ чения. Последняя характеристика требует
лингтона, при этом способность выхода некоторого пояснения: в так называемые
отводить ток для таких схем, как «сшесте- «заливные» светодиоды вводится сп е­
ренная» матрица Дарлингтона, составит циальное рассеивающее вещество, поэ­
250 мА при 1 В. В серию ULN фирмы тому их свечение в широком диапазоне
Sprague входят несколько сшестеренных угла зрения одинаково; во многих случаях
и октальных матриц Дарлингтона в кор­ это хорошо, но за это вы расплачиваетесь
пусах типа DIP. яркостью.
С электрической точки зрения светоди­
9.10. Оптоэлектроника од представляет собой обы чны й диод
с прямым падением напряжения около
В двух предыдущих главах мы исполь­ 2 В (п р и и зго то в л е н и и св ето д и о д о в
зовали светодиоды и цифровые индика­ использую т ф осф ид арсенида галлия,
торные приборы на светодиодах в различ­ обладающий более широкой запрещенной
ных примерах схем по мере необходимос­ зоной и, следовательно, большим паде­
ти. Светодиоды относятся к обширной об­ нием напряжения в прямом направлении,
ласти оптоэлектроники, которая включает чем крем н и й ). Т ипичны е «заливные»
в себя и устройства отображения на осно­ светодиоды панельного типа дают хоро­
ве других технологий, а именно, жидких шее свечение при прямом токе 10 мА;
кристаллов, люминесцентных и газораз­ в углубленной части прибора можно обой­
рядных приборов. Эта область включает тись обычно 2 + 5 мА, особенно если
также оптические электронные устрой­ используются светодиоды с малым углом
ства, которые используются не только как излучения.
индикаторы и дисплеи; к ним относятся На рис. 9.21 показаны способы управ-
оптроны, твердотельные реле, датчики л ян и я и н д и каторам и н а светодиодах.
положения («прерыватели»), диодные ла­ Большинство схем очевидно, однако за­
зеры, матричные детекторы («приборы с метьте, что, поскольку биполярные ТТЛ-
зарядовой связью», ПЗС), электронно­ элементы имеют небольшой ток отдачи,
оптические преобразователи и большое схему приходится строить так, чтобы
разнообразие компонентов, используемых низкий логический уровень включал све­
в волоконной оптике. тодиод; для ср а в н ен и я отм етим , что
618 Глава 9

+5

270

+5

+5

]*=
З Э —
LS, AS, ALS, F

Рис. 9.21. Управление светодиодными индикаторами.

КМОП-семейства симметричны относи­ Можно использовать небольшие матри­


тельно нагрузочной способности по току. цы индикаторов, наборы из 2, 4 или 10
л-МОП-схемы, как и биполярные TTJI- светодиодов в ряд, предназначенные для
схемы, обладают слабой отдачей тока, монтажа на печатной плате. Последние
к тому же их способность к отводу тока используются чаще всего для вывода дан­
весьм а о гр ан и ч ен а, п оэтом у следует ных в виде линейных гистограмм. Они
использовать буфер (например вентиль выпускаются как для вертикального мон­
НСТ) или дискретный полевой транзис­ тажа, так и для монтажа под прямым уг­
тор. Учтите также, что некоторые инди­ лом. Можно также использовать индика­
каторы на светодиодах выпускаю тся с торы для монтажа на панели, в которых
внутренними токоограничивающими ре­ объединены красные и зеленые свето­
зисторами (или даже с внутренней схемой диоды в одном корпусе. Панель при этом
фиксации тока); в этих случаях внешний становится выразительнее, - плохие и
резистор можно не ставить. хорошие условия отображаются разными
Сопряжение цифровых и аналоговых сигналов 619

цветами. Мы используем индикаторы на


О О О О
светодиодах, выпускаемые такими фирма­
ми, как Dailight, General Instrument, HP, о о о о
о о о о
Panasonic, Siemens и Stanley. Последняя т о о о о
специализируется на лампах необычайно о о о о
высокой эффективности; вы можете уз­ о о о о
нать эти приборы на выставках по элект­ о о о о
4 4
роники по изумленным взглядам посети­ 7-сегментный 1б-сегментный Точечн. матрица
5x7
телей. Р ис. 9.22.
Дисплеи. Дисплеем называют оптоэлек­
тронный прибор, который может отобра­ приобрести односимвольные 7-сегмент-
зить цифру (цифровой дисплей), 16-рич- ные дисплеи самых разных размеров и дис­
ную цифру, т. е. 0—9 и A—F (16-ричный плеи в виде «палочек» по 2, 3, 4 или
дисплей) или любую букву или цифру 8 символов (обычно они предназначены
(буквенно-цифровой дисплей). В настоя­ для м ультиплексирования — символы
щее время доминирующими технология­ отображаются по одному, быстро следуя
ми производства дисплеев являются све­ друг за другом). Односимвольные дисп­
тодиоды и жидкие кристаллы. Ж идко­ леи имеют выводы для 7 сегментов и обще­
кристаллические дисплеи (Ж КД) - это го электрода; таким образом, возможны две
новейшая технология, которая обладает разновидности дисплеев - с общим като­
существенными преимуществами для ба­ дом и с общим анодом. В дисплеях на
тарейного оборудования, поскольку име­ несколько символов выводится общий
ет очень низкую мощность рассеивания, электрод каждого символа, но соответст­
для оборудования, находящегося на от­ вующие сегменты объединяются; это, как
крытом воздухе или в условиях высокой раз то, что нужно для мультиплексиро­
внешней освещенности, для создания дис­ вания.
плеев с заказными формами и символа­ 16-сегментные дисплеи и матричные
ми и дисплеев с большим числом цифр и дисплеи на 5 х 7 точек выпускаются в двух
букв. С другой стороны, светодиоды не­ вариантах: «тупые» дисплеи, в которых
сколько проще в применении, особенно выведены сегменты и общ ий электрод
если вам нужно всего несколько цифр или (также как и в 7-сегментных дисплеях) и
букв. Кроме того, они выпускаются трех «умные» дисплеи, которые принимают на
цветов и хорошо выглядят в условиях по­ себя всю тяжелую работу по дешифрации
ниженной освещенности, где их показа­ и формированию.
ния легче считываются, чем показания Не будем больше заниматься обобще­
ЖКД. н и ям и , рассм отрим лучш е н есколько
В области дисплеев на большое число примеров (рис. 9.23). На первой схеме
символов, скажем, на одну или две стро­ показан способ управления дисплеем на
ки текста, с ЖКД конкурируют газораз­ одном 7-сегментном светодиодном инди­
рядные (плазменные) дисплейные пане­ к а то р е с о б щ и м к а т о д о м . Э л е м е н т
ли, особенно в том случае, когда требу­ ’НС4511 - это элемент «регистр/дешиф­
ется ясность и контрастность. Вместе с ратор/формирователь с преобразованием
тем плазменные дисплеи потребляют боль­ двоично-десятичного кода в 7-сегмент-
шую мощность, поэтому для батарейного ный»; он способен отдавать ток около
оборудования лучше использовать ЖКД. 15 мА при активн ом выходе + 4,5 В.
Дисплеи на светодиодах. Н а рис. 9.22 Последовательные резисторы гарантиру­
показаны разновидности дисплеев на све­ ют, что ток сегментов будет ограничен
тодиодах. Простейшим является 7-сег- указанной величиной при прямом паде­
ментный дисплей; он может отображать нии напряжения на диодах 2 В. Можно
цифры 0—9 и шесть букв расш ирения использовать матрицу из одинаковых ре­
(А- F ) , хотя последние отображаются не­ зи сторов в удобном корпусе с о д н о ­
сколько неуклюже (AbcdEF). Вы можете рядным расположением выводов.
620 Глава 9

Дв.-десят.
входной
символ

Рис. 9.23. Управление дисплеем на 7-сегментном светодиодном индикаторе, а — одноцифровой;


6 — мультиплексированный.

Если вы используете принцип мульти­ уровню выше земли, соответствующему


плексирования, т. е. высвечиваете только падению напряжения на диоде. К счас­
одну цифру за одно обращ ени е, вам тью, 74С925 допускает подобное вклю­
понадобится всего один кристалл дешиф­ чение, поскольку цифровые выходы име­
ратора/формирователя, даже при отобра­ ют буферную и токоограничиваю щ ую
жении нескольких цифр. Н а рис. 9.23 б цепь.
показан принцип мультиплексирования; На рис. 9.24 а показано, как управлять
используется БИС 4-разрядного (десятич­ одним 16-ричным дисплеем, выполнен­
ных разрядов) счетчика с встроенными ны м в виде точечной матрицы 5 x 7 .
7-сегментными мультиплексируемыми Элемент HP 5082-7340 является примером
формирователями. Элемент 74С925 пре­ «умного» дисплея с встроенными регистром,
доставляет свои сегментные формирова­ д еш и ф р а то р о м и ф о р м и р о в а т е л е м .
тели (активный высокий уровень с боль­ Все, что вам надо сделать, — это выставить
шой нагрузочной способностью) по оче­ 4-разрядные данные, подождать не менее
реди в распоряж ен и е каж дой циф ре, 50 не и затем активизировать регистр вы­
одновременно устанавливая активны й соким уровнем. На рис. 9.24 б показан
высокий уровень на соответствующем один из «интеллектуальных» (умнее «ум­
цифровом выходе А-D. Остальная часть н о го » ?) д и с п л е е в ф и р м ы S ie m e n s —
схемы не требует пояснений, за исклю­ 4-символьны й набор на 16-сегментных
чением, быть может, той неприятности, дисплеях. Этот дисплей предназначен для
что цифровые выходы прижимаю тся к того, чтобы работать с микропроцессо-

+ 5
5082
7340 Siemens D L-3416

16-ричный О о
вх. символ
о | \ | / | IM /I IM /I IM /I
°° о ! ^ [ \1 l ^ l \ l |Д \ 1 IZ iNI
°оо о °
Фиксация

тг 1 1 1 1
D6 . . .
1 11
D0
11 V V
А1 АО WR CLR 1| ■ mi
7 -разр. данные 2-разр.
адрес
Разрешение
символа

Рис. 9.24. Интегральные дисплеи, а — односимвольный, точечная матрица; б — 4-символьный, 16-сегментный,


адресуемый.
Сопряжение цифровых и аналоговых сигналов 621

набор символов
DO L н L и L и 1 И 1 и 1 м L N L н
LD1 1 И N 1 L N и L 1 и и L L н и
L03 1 L L И м м и L L L L N И и и
L03 1 L 1 1 L L 1 N И И N И N н N
мИМи
шО 1 3 Э 4 5 • 7 • • А ■ С О с f

LмL3 1 II ✓ ✓ Ч ✓
\ / £ -f ✓ — • ✓
£ % &

1 NМэЛ 1 и т / N
и 1 г 3 1 5 S 1 8 S / £- :: ?

И tL4 Г - ■
Л т 1 1 М IV1 п
СО я J8 L . J J е : г 0 м J. L J К 1 1 1 V L J

N LНS
р п
L* R Б т
1
1
LJ
1 1 /
¥ w
\/
✓\
V
1
~7
1-
Г
L
ч
\
т
J /\ _
все другие коды пустые
Рис. 9.25. Коды 16-сегментного дисплея DL-3416 фирмы Siemens (С разрешения фирмы Siemens Components,
Inc.)

ром по типу памяти; мы еще вернемся к использовать переменное напряж ение,


этому в следующих двух главах. Короче иначе их жидкие нити разрушаются. П о­
говоря, вы выставляете любой 7-разряд- этому формирователи Ж КД обычно гене­
ный символ и его позицию (2-разрядный рируют прямоугольные сигналы, синхро­
адрес), затем подаете W R ' (запись) на н и зи р о в ан н ы е с си гн ал ом п одлож ки
время, гарантирующее активизацию кри­ ЖКД. Примером может служить ’НС4543,
сталла. Данные запоминаются внутри эле­ жидкокристаллический родственник све­
мента, затем осуществляется соответству­ тодиодного элемента ’НС4511 типа «ре­
ющее изменение позиции для отображе­ гистр/дешифратор/формирователь».
ния очередного символа. На рис. 9.25 Другое отличие состоит в том, что вам
показан набор отображаемых символов. не часто приходится видеть односимволь­
Если вы хотите использовать «тупой» ные дисплеи на жидких кристаллах. Они
дисплей (возможно, то, что вам надо, выпускаются в виде больших панелей,
недоступно интеллектуальному дисплею), которые отображают одну или две строки
но вы уже избалованы простотой интел­ текста. К счастью, производители доста­
лектуальных дисплеев, можно просто точно ясно представляют себе, как мож­
применить кристалл типа 8-разрядного но получить довольно сложные вещи, по­
элемента IC M 7 2 18/28 ф ирм ы Intersil, этому они поставляют совершенные дисп­
который выглядит со стороны м икро­ леи, которые более чем интеллектуаль­
процессора как память и который управ­ ны — просто на уровне гения. В общем,
ляет «тупым» светодиодным дисплейным вы обращаетесь к этим дисплеям через
набором от соответствующих сегментных микропроцессор, и они превращаются в
и цифровых ф ормирователей. Другой своего рода блок памяти (как и в случае
способ состоит в том, чтобы дать возмож­ дисплея на рис. 9.24). Отображаться бу­
ность микропроцессору делать всю «ум­ дет все, что бы вы ни записали. Некото­
ную» работу, используя разряды своих рые еще более фантастические дисплеи
«параллельных портов» для управления пошли даже дальше, они способны хра­
соответствующими линиями. Это станет нить несколько сообщений и осуществ­
для вас более понятным, после того как лять связь через последовательные порты.
вы усвоите две главы о микропроцессо­ Загляните в ЕМ М, чтобы узнать произво­
рах (гл. 1, 2). дителей (см. библиографию).
Жидкокристаллические и газоразрядные Г азоразрядны е д исплеи вы деляю тся
дисплеи. Многое из того, что мы уже рас­ своими красивыми красно-оранжевыми
сказали о дисплеях на светодиодах, при­ сим волам и; вы можете их увидеть на
менимо и к Ж КД. Однако существует некоторых дорогостоящих портативных
несколько важных отличий. Вот одно из компьютерах. Для работы газоразрядных
них: для управления Ж КД необходимо дисплеев необходимы высоковольтные
622 Глава 9

формирователи, и производители обыч­ вой транзистор позволит вам переклю­


но предусматривают средства формирова­ чить аналоговый сигнал без всякой инъек­
ния. Вы можете приобрести од н о- и ции заряда; то же самое справедливо для
многоцифровые дисплеи, а также боль­ схем квантования с запоминанием и ин­
шие многосимвольные панели с памятью теграторов. Использование оптронов поз­
и удобным интерфейсом. Примером пос­ волит избежать треволнений при управ­
леднего может служить многостроковый лении контурам и с индустриальны м и
дисплей фирмы Cherry, снабженный па­ токами, приводами молотов и т. п. Нако­
мятью с аварийным батарейным пита­ нец, гальваническая развязка оптронами
нием, которая может хранить 512 сооб­ пригодится в прецизионных и низкоуров­
щений, осуществлять расслоение данных, невых схемах. Трудно, наприм ер, вос­
поступающих в реальном масштабе вре­ п о л ь з о в а т ь с я в сем и д о с т о и н с т в а м и
мени, и позволяет производить редакти­ 16-разрядного АЦП, поскольку цифровые
рование содержимого. Возможно, вы на­ выходные сигналы (и помехи на цифро­
зываете такие устройства не дисплеями, вой земле, к которой вы подключаете
а ком пью терам и, которы м полож ено выход преобразователя) возвращаются на
иметь дисплей! «передний край» аналоговой части. Вы
Оптроны и реле. Излучатель на свето­ можете освободить себя от всех забот, свя­
диоде, размещенный в непосредственной занных с помехами, применив оптичес­
близости от ф отодетектора, образует кую развязку в цифровой части.
очень полезный предмет, известный как Оптроны обычно обеспечивают изоля­
оптопара, или оптрон. В двух словах, цию в 2500 В (среднеквадратичное), со­
оптроны позволяю т обеспечить обмен противление изоляции 1012 Ом и емкост­
цифровыми сигналами (а иногда и ана­ ную связь между входом и выходом менее
логовыми) между схемами с раздельной пикофарады.
землей. Такая «гальваническая развязка» Прежде чем обратиться к реальны м
является хорошим способом избежать оптронам, бросим беглый взгляд на ф о­
земляных контуров в оборудовании, ко­ тодиоды и фототранзисторы. Видимый
торое управляет удаленной нагрузкой. свет вы зы вает и он и зац и ю в крем н ии
Это особенно важно в схемах, которые и образование пар зарядов в открытой
взаимодействуют с силовыми фидерами базовой области; эффект от этого точно
переменного тока. Например, вам пона­ такой же, как от внешнего базового тока.
добилось включать и выключать нагре­ Существуют два способа использования
ватель по цифровому сигналу, вырабаты­ фототранзистора: 1. В качестве фотодио­
ваем ом у м и к р о п р о ц есс о р о м ; в этом да, подклю ченного только к базовому
случае вы, наверное, будете использовать и коллекторному выводам; в этом случае
«твердотельное» реле, состоящее из све­ фототок будет составлять несколько про­
тодиода, подключенного к сильноточно­ центов от тока светодиода. Ф отодиод
му симистору. Некоторые импульсные генерирует фототок независимо от того,
источники питания, управляемые пере­ прикладываете вы напряжение смещения
менным током, (например, источник пи­ или нет; следовательно, вы можете под­
тания, используемый в IBM РС -А Т), ключать его прямо к суммирующему пе­
используют в изолированном контуре об­ реходу операционного усилителя (вирту­
ратной связи оптрон (см. разд. 6.19). альная закоротка) или обеспечить обрат­
Точно так же проектировщики высоко­ ное смещ ение (рис. 9.26 а, б). 2. Если
вольтных источников питания использу­ вы используете ток фотодиода как ба­
ют и ногда оп троны для того, чтобы зовый ток, то получите обычное усиле­
передать сигнал в схему с высоким на­ ние тока с результирующим током / кэ, ко­
пряжением. торый, как правило, в 100 раз больше
Вы можете воспользоваться достоинст­ базового; в этом случае, необходимо сме­
вами оптронов даже в менее экзотических стить транзистор, как показано на рис.
ситуациях. Например, оптический поле­ 9.26 в. За увеличенный ток приходится
Сопряжение цифровых и аналоговых сигналов 623

¥ £

К о эф ф . п ер едачи то ка
мин. б ы стр о д. н агр .
М СТ2(только СВ) 0,4% 30 мкс 2 кОм
МСТ2 20% 30 мкс 2 кОм
4N 35 40% 5 мкс 100 0м
МСТ2201 100% 6 мкс 100 0м

+5а +5b

300 Ом

'НСОО 8 M A ^ i L 5 ' .
(4 0 % М И Н . )

В ы хо д Вход
G l 7 401 6000 (а к т. н а гр .) T T /IL S 15 М е /с
GI 7 4 0 L 6 0 1 0 О /С (15 В) T M L S 15 М в /с

и К

¥ г $

•Г
МСР 30 4 3 5 м А 1 0 0 мА, 2 8 0 8 с р . кв.
IR DP6110 5 м А 1А, 28 0 8 ср. кв.
D2410 ЗВ
(1,5кОм ) 10А, 2 8 0 8 ср. кв.
D2475 ЗВ
(1 ,5 к 0 м ) 75А, 28 0 8 ср. кв.
П

J f *3i f t

Рис. 9.26. Оптроны.


62 4 Глава 9

платить более медленным откликом, что чтобы избавиться от этих недостатков,


обусловлено открытой базовой цепью. кремниевые кудесники предлагают нам
Для повышения быстродействия можно «логические» оптроны. Элемент 6N137 на
добавить резистор с базы на эмиттер; рис. 9.26 и занимает промежуточное по­
однако это дает п ороговы й эф ф ек т, ложение — диодный вход и логический
поскольку фототранзистор не переходит выход; здесь все ещ е нужен больш ой
в состояние проводимости до тех пор, входной ток (по техническим данны м
пока ток фотодиода не достигнет величи­ 6,3 мА мин. для того, чтобы гарантиро­
ны, достаточной для получения напряже­ вать переключение выхода), но вы полу­
ния £/бэ на внешнем базовом резисторе. чаете чистый логический перепад (хотя
В цифровых схемах порог может оказать­ и с открытым коллектором) и скорость
ся полезным, но в аналоговых приводит к 10 М бит/с. Заметьте, что на внутренние
нежелательной нелинейности. выходные схемы необходимо подавать
На рис. 9.26 г—у показаны типичные питание +5 В. Более новые элементы се­
примеры применения различных оптро­ рии 740L6000 фирмы General Instrument
нов, с которыми вам, возможно, дово­ (рис. 9.26 к) предлагают то, что вам дей­
дилось встречаться. Самые первые (и ствительно требуется: входы и выходы
самые простейшие) представлены элемен­ с логическими уровнями, каскадный вы­
том 4N35, пара светодиод - фототранзис­ ход или открытый коллектор по выбору и
тор с коэффициентом передачи по току скорость 15 М бит/с. Поскольку на входе
40% (мин) и большим временем выклю­ и на выходе имеются логические схемы,
чения 5 мкс при нагрузке 100 Ом. На обе стороны кристалла требуют подачи
рисунке показан способ его использова­ напряжения для питания логики.
ния: вентиль и резистор образуют форми­ На рис. 9.26 показаны еще несколько
рователь с ограничением по току 8 мА, вариантов схем в продолжение темы све­
а относительно большой коллекторный тодиод—фототранзистор. Элемент IL252
резистор гарантирует переключение вы­ содержит пару встречно-включенных све­
хода в пределах логических уровней с тодиодов, поэтому им можно управлять
насыщением. Заметьте, что применен ин­ переменным током. Для получения защ и­
вертор с триггером Ш митта; здесь это щ енности по н апряж ению 10 кВ (ср.
хорошая мысль в связи с большим вре­ квадр.) в IL11 используется длинны й
менем переключения. Вы можете приоб­ и золяционны й зазор (и соответствую ­
рести пары светодиод—фототранзистор щий корпус); для остальных оптронов эта
с коэффициентом передачи по току по­ величина составляет 2,5 кВ. Элемент
рядка 100% и выше (например, МСТ2201 Н11С 4 — это оп тотири стор, удобны й
с коэффициентом 100% (мин)), а также для переключения высоких напряжений и
пары светодиод-фототранзисторы Д ар­ больш их токов. В М С Р3023 о д н о н а ­
лингтона; они даже медленнее фототран­ правленный тиристор заменен на симис-
зисторов! Для повышения быстродействия тор, т. е. на двунаправленный тиристор;
производители иногда разделяют фотоди­ с его помощью можно непосредственно
од и транзистор, как в элементах 6N136 управлять нагрузкой переменного тока
и 6N139, оптотранзистор и оптосхема (рис. 9.15 о). При управлении нагрузками
Дарлингтона. переменного тока включение нагрузки
Эти оптроны , конечно, хорош и, но лучше всего производить в момент пе­
иногда раздражают необходимостью ис­ ресечения волной переменного тока нуля
пользовать дискретные компоненты и на для избеж ания попадания выбросов в
входе и на выходе. Более того, вход силовые линии. Это легко осуществить
нагружает обычные логические вентили с помощью оптосимисторов, содержащих
до их максимальной нагрузочной способ­ схему «переключения по нулевому н а­
ности, а выход с пассивной нагрузкой пряжению» (которая блокирует запуск си-
«страдает» медленным переклю чением мистора до следующего пересечения нуля);
и слабой помехоустойчивостью. Для того как раз такую схему использует неболь­
Сопряжение цифровых и аналоговых сигналов 625

шой элемент МСР3043, как и приведенные


на рисунке «твердотельные реле» на более
сильные токи. Элемент DP6110 фирмы IR
выпускается в 16-выводном корпусе типа
DIP, а мощные элементы D2410 и D2475
располагаются в мощных модулях с раз­
мерами 1,75 х 25 х 1 дюйма, предназна­
ченных для монтажа с отводом тепла.
Остальные оптроны, представленные на
рис. 9.26, можно использовать для линей­
ных сигналов. Полевые оптотранзисторы
серии HI IF мож но использовать как
изолированный переменный резистор или
как изолированный аналоговый ключ.
Здесь нет проблем, связанных с совмести­
мостью уровней напряжения, тиристор­
ным защелкиванием или внесением заря­
дов. Вы можете использовать один из
таких элементов в квантователях с запо­
минанием и интеграторах. Похожими
приборами являются элементы «BOSFET»
серии PVR, но они содержат в качестве
выходного элемента пару соединенных
последовательно мощных полевых М ОП-
транзисторов. Такие элементы предназ­
начены прежде всего для непосредствен­ 6
ного переключения нагрузок переменно­
го тока по принципу оптосимисторов. Рис. 9.27. а — оптический прерыватель; 6 — датчик
отражающего объекта.
Элемент H11VI — это линейный видео­
изолятор с полосой частот 10 МГц, а эле­
мент ISO -100 фирмы Burr-Brown - «ум­ ния края подвижного узла. Можно при­
ный» аналоговый изоляционный элемент, обрести «кодер вращения», который ге­
в котором светодиод имеет связь с двумя нерирует квадратурную импульсную пос­
согласованными фотодиодами; один из ледовательность (два выхода с фазовым
них используется в цепи обратной связи сдвигом 90°) при вращении вала. Он пре­
для линеаризации отклика второго фото­ красно заменяет резистивные панельные
диода. органы уп равления (потенциом етры ).
Прерыватели. Пару «светодиод—фото­ Смотри разд. 11.09. При разработке лю­
транзистор» можно использовать в ка­ бых практических схем, в которых вы со­
честве датчика близости или движения. бираетесь использовать оптические преры­
«Оптический прерыватель» состоит из ватели или датчики с отражением, обра­
св ето д и о д а, с в я з а н н о г о по щ ели в тите внимание на датчики на эффекте
1/8 дюйма с фототранзистором. Он может Холла как на альтернативный вариант; это
обнаруживать присутствие светонепрони­ твердотельны е датчики на магнитном
цаемой полоски или вращения щелевого поле, предназначенные для определения
диска. Другой вариант — светодиод и фо­ степени близости объекта. Обычно дат­
тодетектор, направленные в одну сторо­ чики такого типа используются в авто­
ну; такой элемент обнаруживает присут­ мобильных системах зажигания вместо
ствие в непосредственной близости отра­ наконечников механических прерывате­
жающего объекта. Взгляните на рис. 9.27. лей.
Оптические прерыватели используются Излучатели и детекторы. Мы уже упо­
в дисководах и принтерах для обнаруже- минали светодиоды в связи с дисплеями
626 Глава 9

и оптронами. Последнее достижение в помехи, а также эффекты «длинных ли ­


области оптоэлектроники —это доступные ний» (отражение от несогласованной на­
недорогие твердотельные диодные лазе­ грузки; см. разд. 13.09). Чтобы обеспе­
ры, - источники когерентного света в чить надежную передачу, в большинстве
отличие от диффузионных светодиодов. случаев необходимо использовать специ­
Один из них вы можете увидеть, если от­ альные средства и соответствующие ин­
кроете верхнюю крышку портативного терфейсные ИС. Некоторые из этих про­
проигрывателя компакт-дисков. Диодные блем могут возникнуть даже на отдельной
лазеры стоят около 20 долл. и продаются печатной плате, поэтому необходимо кое-
фирмами, производящими бытовую элек­ что знать о способах передачи цифровых
тронную аппаратуру (Matsushita, M itsu­ сигналов. Начнем с проблем передачи в
bishi, Sharp и Sony). Типичный диодный пределах одной платы. Затем рассмотрим
лазер генерирует 10 мВт световой мощ­ проблемы, возникаю щ ие при передаче
ности на 800 нм (невидимый в ближней сигналов между платами, по шинам дан­
инфракрасной области спектра) при токе ных, и наконец, при передаче сигналов
80 мА и прямом падении напряжения между приборами по скрученным парам
на диоде 2 В. Выходной поток излучает­ и коаксиальным кабелям.
ся непосредственно из крошечного отвер­
стия на кристалле с утлом расхождения 9.11. Внутриплатные соединения
10° - 20°; его можно коллимировать с по­
мощью линзы и получить параллельный Ток переходного процесса выходного кас­
пучок или очень маленькое фокусное када. Двухтактная выходная схема в ТТЛ
пятно. С ветодиодные лазеры ш ироко и К М О П И С состоит из пары т р а н ­
используются в оптоволоконной связи. зисторов, включенных между U+и землей.
Еще одной технологией производства Когда состояние на выходе изменяется,
излучателей является линейная светоди­ существует короткий интервал времени,
одная матрица высокой плотности; 300 в котором оба транзистора находятся в
излучателей на дюйм и даже больше; открытом состоянии; на этом интервале
такие матрицы используются в свето­ от U+ к земле проходит импульс тока,
диодных принтерах. При успешном раз­ создавая короткий отрицательный выброс
витии полупроводниковой технологии на шине U+ и короткий положительный
такие принтеры заменят лазерные, по­ выброс на земляной шине. Эта ситуация
скольку они проще, надежнее и облада­ показана на рис. 9.28. Предположим, что
ют крайне высокой разрешающей способ­ ИС, меняет свое состояние; в этом случае
ностью. от шины +5 В к земле протекает боль­
В области детекторов существуют не­ шой кратковременный ток по указанным
сколько альтернатив простым фотодио­ путям (для схем 74Fxx или 74АС(Т)хх ток
дам и фототранзисторам, которые мы может достигать 100 мА). Этот ток в
обсуждали выше, особенно когда требует­ комбинации с индуктивностью проводни­
ся скорость или чувствительность. В разд. ков земли и U+ приводит к появлению,
15.02 мы рассмотрим PIN -диоды, прибо­ как показано на рисунке, коротких выб­
ры с зарядовой связью и усилители. росов напряжения относительно опорной
точки. Несмотря на то что выбросы мо­
ЦИФРОВЫЕ СИГНАЛЫ гут иметь длительность всего 5 + 20 не,
И ДЛИННЫЕ ЛИНИИ они доставляю т массу неприятностей.
Предположим, например, что ИС2, «прос­
При передаче цифровы х сигналов по тодушный свидетель», находящийся вбли­
кабелям или между отдельными прибо­ зи «кристалла-наруш ителя», находится
рами возникают специфические пробле­ в состоянии низкого уровня и управляет
мы. Важную роль начинают играть такие схемой И С 3, расположенной несколько
эффекты, как емкостная нагрузка на бы­ дальше. Положительный выброс на зем­
стрые сигналы, синфазные перекрестные л ян о й ш ине И С 2 п оявляется и н а ее
Сопряжение цифровых и аналоговых сигналов 627

+5

Рис. 9.28. Помехи на шине земли.

выходе и, если этот выброс достаточно вить по всей плате танталовые конденса­
велик, ИС3 воспримет его как короткий торы б о льш о й е м к о с ти (д о ста то ч н о
выброс высокого уровня. Таким образом, 20 мкФ, 20 В). Между прочим, конденса­
на И С 3, расположенной на некотором торы развязки между ш инами питания
расстоянии от «возмутителя спокойствия» и землей рекомендуется ставить в любых
ИС,, появится полноценный логический схемах, будь то цифровые или линейные.
импульс, готовый помешать работе «доб­ Они помогают превратить шины питания
ропорядочной» схемы. Много усилий не в низкоимпедансные источники напря­
требуется для того, чтобы запустить или жения на высоких частотах и предотвра­
сбросить триггер, и такие выбросы тока щают сигнальную связь между схемами
по земляной шине блестящее умеют де­ через источник питания. Ш ины питания
лать подобную работу. без развязок могут привести к непреду­
Лучшей профилактикой против таких смотренному поведению схемы, колеба­
явлений является: а) использование боль­ ниям и вообще к головной боли.
шого числа земляных шин по всей плате Выбросы, обусловленные емкостными
вплоть до применения «земляных поверх­ нагрузками. Несмотря на развязки по пи­
ностей» (одна сторона двухсторонней пе­ танию, ваши проблемы еще не закончи­
чатной платы целиком отводится под зем­ лись. Взгляните на рис. 9.29. Цифровой
лю) и б) обильное использование кон­ выход обнаруживает паразитную емкость
денсаторов развязки по всей схеме. Чем монтажа и входную емкость ИС, которой
мощнее шины земли, тем меньше выбро­
сы, и ндуц ирован н ы е током (м ен ьш е
индуктивность и сопротивление). Роль
конденсаторов развязки, включенных меж­
ду U+ и землей и разбросанных по всей
плате, заключается в том, чтобы передать
импульсы тока по кратчайш им путям
с небольшой индуктивностью и сущест­
венно уменьшить выбросы по напряже­
нию (конденсатор работает как локаль­
ный источник напряжения, поскольку на­
пряжение на нем существенно не изме­
няется во время коротких выбросов тока).
Лучше всего установить возле каждой И С
конденсатор емкостью от 0,05 до 0,1 мкФ, Выбросы на
шине земли!
хотя может оказаться достаточны м и
один конденсатор на две-три ИС. Кроме Рис. 9.29. Помехи на шине земли из-за емкостной
того, для запаса энергии полезно расста­ нагрузки.
628 Глава 9

он управляет (обычно, 5—10 пФ) как часть тестов памяти в микропроцессорных сис­
общей нагрузки. Для того чтобы осущест­ темах (в которых обычно имеется 16 ли­
вить быстрый переход от состояния к со­ ний данных и 24 адресных линии с самым
стоянию, он должен отобрать от этой разнообразным распределением инфор­
нагрузки или подать в нее большой ток мации).
в соответствии с / = C(dV/dt). Рассмот­ Наилучший подход к проектированию
рим, например, схему 74АСхх (5-вольто- состоит в том, чтобы использовать мас­
вый выходной перепад за 3 не), которая сивную разводку земли (для обеспечения
управляет общ ей ем костью н агрузки низкой индуктивности), лучше всего в
25 пФ (это соответствует 3-4 логическим виде внутреннего слоя земли на много­
нагрузкам с короткими проводниками). слойной плате (см. гл. 12) или по край­
Ток в момент логического перехода со­ ней мере перпендикулярных земляных
ставляет 40 мА, т. е. почти на максималь­ проводников с обоих сторон более про­
ной нагрузочной способности выхода стой двухсторонней платы. Обильное ис­
управляющей ИС! Этот ток возвращается пользование конденсаторов развязки обя­
через землю (при переходе от высокого зательно. Острота этих проблем не так
к низкому) или через шину +5 В (при велика для высоковольтных К М О П -эле­
переходе от низкого к высокому), индуци­ ментов (благодаря медленным фронтам);
руя эти «шустрые» меленькие выбросы, с другой стороны , для логических се­
о которых шла речь ранее (для того чтобы мейств F, AS и АС(Т) эти проблемы дос­
получить представление об их величине, тигают наивысшей остроты. Действитель­
примите к сведению тот факт, что индук­ но, семейство АС(Т) настолько склонно
тивность монтажа составляет примерно к динамическим выбросам тока, что неко­
5нГн/см. На дюйме земляного провода, торые изготовители (начиная с TI) отка­
по которому протекает этот ток логиче­ зались от традиционного «углового» рас­
ского перехода, появится выброс U — п олож ен ия выводов зем л и /п и та н и я в
= L(dl/dt) = 0,2 В). Если ИС окажется пользу «центрального» расположения с
октальным буфером с одновременными более низкой индуктивностью выводов;
переходами на полдюжине выходов, то они пошли еще дальше, использовав для
выбросы по земле превысят 1 В; см. рис. снижения индуктивности земли четыре со­
8.95. Похожие выбросы по земле (хотя седних вывода. Учитывая эти проблемы,
и поменьше) появятся вблизи управляе­ лучше не применять без нужды быстродей­
мой ИС, где выбросы тока возвращаются ствующее логическое семейство; вот поче­
на землю через входную емкость управ­ му мы рекомендовали использовать для
ляемого прибора. общих целей логику НС, а не АС.
В синхронных системах с большим чис­
лом элементов, одновременно меняющих 9.12. Межплатные соединения
состояние, ситуация с выбросами-помеха­
ми становится настолько серьезной, что В случае логических сигналов, передавае­
схема не в состоянии работать надежно. мых между платами, возможностей для по­
Особое значение это приобретает для явления помех становится все больше.
больших печатных плат с длинными меж­ Возрастает емкость проводников, цепь
соединениями и длинным земляным пу­ земли становится длиннее, поскольку те­
тем. В такой схеме могут происходить перь она проходит по кабелям, разъем­
сбои, когда целая группа линий данных ным соединителям, платным расширите­
меняет свое состояние от верхнего уров­ лям и т. п. Поэтому выбросы по земле,
ня к низкому, вызывая появление крат­ возникающие за счет токов во время логи­
ковременного очень большого тока по зем­ ческих переходов, как правило, больше
ле. Такая информационная зависимость и вызывают больше беспокойств. Лучше
является характерной особенностью сбо­ всего стараться избегать передачи между
ев, обусловленных помехами, и хорошим платами тактирующих сигналов с боль­
обоснованием для прогона расширенных шим коэффициентом разветвления, если
Сопряжение цифровых и аналоговых сигналов 629

это возможно; а провода заземления к связи с близлежащими сигнальными л и ­


отдельным платам сделать достаточно ниями, поэтому для создания простой
мощными. Если тактирующие сигналы объединительной платы все сигнальные
все же передаются между платами, то линии следует расположить на одной сто­
целесообразно использовать на каждой пла­ роне, а другую сторону отвести под осно­
те вентиль в качестве входного буфера. вательную земляную плоскость (двухсто­
В крайнем случае может понадобится ИС ронние печатные платы имеют широкое
линейного формирователя и приемника, распространение, но для сложных схем все
но об этом несколько позже. В любом чаще начинают применять многослойные
случае критические схемы лучше распо­ печатные платы).
лагать на одной плате: у вас появляется Последнее замечание на эту тему. Ког­
возможность контролировать индуктив­ да эффекты длинной линии типа «звонов»
ность цепи земли и свести к минимуму и выбросов по земле сильно вас допекут,
емкость монтажа. Проблемы, с которы­ вы, возможно, в отчаянии прибегнете к
ми вы столкнетесь при пересылке быст­ распространенному приему: подключить
рых сигналов через несколько плат, труд­ конденсатор прямо к вентилю, управляе­
но даже оценить; они могут обернуться мому длинной линией. Мы сами делали
настоящим бедствием для всего проекта. это неоднократно, тем не менее мы не
рекомендуем прибегать к этому мало­
9.13.Шины данных изящному приему, поскольку он только
усложняет проблему больших токов по
Когда большое число подсхем объеди­ земле во время логических переходов (см.
няются в шину данных (более подробно разд. 9.11).
об этом см. гл. 10 и И ), упомянутые Оконечная нагрузка шин. Сигнальные
проблемы становятся еще более острыми. линии шин большой длины принято на­
Более того, появляются новые моменты - гружать на самом дальнем конце резисто­
эффекты длинных линий, обусловленные рами, подключенными к питанию или к
длиной и индуктивностью самих сигналь­ земле. Длинные пары проводов или ко­
ных линий. Для самых быстрых ЭСЛ ИС аксиальные кабели обладают «характери­
(ECL III, ECL100K с ф ронтом менее стическим импедансом» Zo (об этом пой­
1 не) эти эффекты становятся настолько дет речь в гл. 13). Сигнал, распространя­
важными, что все сигнальные цепи дли­ ющийся по кабелю, который нагружен
ной более 1 дюйма следует рассматривать эти м и м п е д а н с о м (к с т а т и , в сегд а
как линии передачи и соответствующим резистивный), полностью поглощается без
образом их согласовывать. всяких отражений. Любая другая величи­
Для шин данных любой существенной на нагрузки, в том числе и холостой ход,
длины (1 фут и более) наилучшим подхо­ вызывает отраженные волны, амплитуда
дом, по-видимому, является применение и фаза которых зависит от рассогласова­
объединительной платы с «земляной плос­ ния импедансов. Ш ирина печатных про­
костью». Объединительная плата (см. гл. водников и расстояние между ними тако­
12) представляет собой простую печатную вы, что характеристический импеданс
плату, содержащую ряд разъемных со­ линий связи на печатной плате составля­
единителей под печатный монтаж для ет примерно 100 Ом, что почти соответ­
подключения отдельных схемных плат, ствует характеристическому импедансу
составляю щих всю логическую схему. скрученной пары из обычного изолиро­
Объединительные платы — это экономное ванного провода 24-го калибра или дру­
решение проблемы объединения плат, а если гого, близкого сечения.
они выполнены соответствующим обра­ Распространенным способом заверше­
зом, то и решение электрических проблем. ния ТТЛ -ш ины является подключение
Проводники, расположенные вблизи зем­ делителя напряжения между + 5 В и зем­
ли, имеют меньшую индуктивность и ме­ лей. Логический высокий уровень удер­
нее склонны к образованию емкостной живается при этом на уровне +3 В, а это
630 Глава 9

«Односторонние» означает, что входные


и выходные выводы ИС расположены на
ТТЛ
> 100 пФ
т О противоположных сторонах корпуса. Су­
КМОП щ ествую т также И С -п р и ем о /п ер ед ат-
100 Ом чиков с соответствующ ей нагрузочной
способностью; они могут использоваться
как буферы данных в любом направлении
благодаря применению на каждой линии
Рис. 9.30. Нагрузка по переменной составляющей.
данных параллельных пар буферов с 3-я
состояниями, включенных навстречу друг
означает, что при переключении нужен другу; вход «направление» определяет,
меньший перепад напряжения и поэтому в какую сторону будут передаваться дан­
через емкость нагрузки протекает мень­ ные. Другие типы приборов приведены в
ший ток. Обычно выбирают комбинацию табл. 8.4 и табл. 8.5.
из резисторов 180 и 390 Ом, подключен­
ных соответственно к +5 В и земле (рис. 9.14. Кабельные связи
9.32). Другой способ, хорошо работаю­
щий и для ТТЛ и для КМ ОП, состоит в Передачу цифровых сигналов от одного ус­
том, чтобы использовать нагрузку по пе­ тройства к другому нельзя осуществлять с
ременному току, состоящую из последо­ помощью простого одиночного проводни­
вательной цепочки резистор—конденсатор ка, такое соединение подвержено влиянию
между линией данных и землей (рис. 9.30). взаимных помех. Цифровые сигналы обыч­
Величина резистора обычно выбирается но передаются по коаксиальным кабелям,
близкой к характеристическому импедансу скрученным парам, плоским кабелям (иног­
шины (типовое значение 100 Ом); вели­ да с земляной поверхностью или в экра­
чина емкости должна быть выбрана из не), многожильным кабелям и все чаще по
расчета низкого емкостного сопротивле­ оптоволоконным кабелям. Мы встретимся
ния на частоте, равной обратной величи­ еще раз с коаксиальными кабелями (нежно
не времени подъема сигнала (в общем слу­ называемыми «коаксами») в гл. 13 в связи
чае достаточно 100 пкФ). с радиочастотной техникой; здесь же мы
Шинные формирователи. Если линии намерены рассмотреть некоторые способы
шин имеют большую длину или большой передачи цифровых сигналов между короб­
коэффициент разветвления, то необхо­ ками с электроникой, поскольку эти спо­
димо использовать специальные логичес­ собы составляют важную часть цифрового
кие элементы с высокой нагрузочной спо­ сопряжения. В большинстве случаев су­
собностью по току. Ниже перечислены ществуют специализированные ИС форми­
наиболее известные элементы этого типа. рователей/приемников, способные облег­
чить вашу работу.
Стандарт RS-232. Для сравнительно мед­
Тип Описание
ленной передачи сигналов (несколько ты­
’365-8 шесть схем, 3 состояния
’1004/34 шесть схем, 2 состояния сяч бит в секунду) по многожильным ка­
’11004/34 шесть схем, 2 состояния, белям обычно используют известный сиг­
односторонние нальный стандарт RS-232C (или более
’240-4 восемь схем, 3 состояния
’540-1 восемь схем, 3 состояния, новый RS-232D). Стандартом определены
односторонние биполярные уровни от ±5 до ± 15 В (для
’827-8 10 разрядов, 3 состояния, формирователей необходимы положитель­
односторонние
Семейство Ток отвода/отдачи, мА
ное и отрицательное напряжения питания,
LS 24/15
но приемники обычно этого не требуют);
AS, F 64/15 приемники допускают, как правило, управ­
ASboor 48/48 ление гистерезисом и временем отклика под
НС(Т) 6/6
АС(Т) 24/24 конкретную ситуацию с помехами; приме­
Am298xcc 48/24 н я я стан д ар т R S -232, вы мож ете
Сопряжение цифровых и аналоговых сигналов 631

Открытый
— LT1032 коллектор
RS-232C
Вход от 5В
1
А I7414
ТТЛ

- О —

9В . ___ 2В
■±*714S9A

+5
5
ф-
(триггер
Шмитта)
ТТЛ

— 1В
ОВ
однопроводнои
двухполярный
*.
180 U
Л
180
Входные сигнал
9В —
пороги
4=1-
Выходной
сигнал
390 П
У 330C'пФ
J-
Рис. 9.31. Кабельные приемники и передатчики
высокой помехоустойчивости; выполнены по стан­
дарту RS-232. ; 74АС244 -7 4 Н С 1 4

использовать многожильный кабель без вся­


кой экранировки, так как максимальная ~ Ч >
скорость изменения напряжения формиро­ Перепад от 0 до +5В
100 пФ
вателей для минимизации перекрестных ток ±24 мА Гистерезис 1В
при питании 5В
помех намеренно ограничена величиной 100 0 м
30 В/мкс. Кроме основного ТТЛ-совмес-
тимого элемента, состоящего из 4 пар «фор­
мирователь/приемник» (1488/1489), в насто­
£
ящее время имеется несколько улучшенных Рис. 9.32. Оконечные цепи с формированием ло­
ИС, вклю чая м алом ощ ны е варианты гических уровней.
(LT1032, 1039 и М С145406; см. разд. 14.47)
и варианты, работающие от одного источ­
ника +5 В (серии МАХ-232 и LT1130, управления. На первой схеме буфер (мо­
LT1080). Последние содержат преобразо­ жет иметь открытый коллектор) управляет
ватель напряжения для формирования не­ нагруженной линией с ТТЛ-триггером
обходимого отрицательного напряжения. Ш митта в качестве приемника для повы­
Типовая схема показана на рис. 9.31. шения помехоустойчивости. Если уровень
RS-232 широко используется для обес­ помех высок, то можно использовать, как
печения связи между компьютерами и показано на второй схеме, замедляющую
терминалами на стандартизованных скоро­ ЛС-цепь с подстройкой постоянной вре­
стях передачи данных, входящих в диапа­ мени (и скорости передачи!) в соответствии
зон от 110 до 38400 бит/с. Полный стан­ с конкретной обстановкой. В этой схеме
дарт определяет даже распайку контактов триггер Ш митта играет важную роль.
25-контактного субминиатюрного соеди­ В последней схеме мощный КМ ОП-бу-
нителя типа D и используется для пере­ фер управляет линией с комплексной на­
дачи данны х в коде ASCII (см. разд. грузкой и КМОП-триггером Шмитта в ка­
10.19). честве приемника.
Непосредственное управление от 5-воль- Непосредственное управление с помо­
товой логики. Линиями средней длины, как щью логических уровней будет нормаль­
и шинами данных, можно управлять не­ но работать на скрученной паре, плоском
посредственно логическими уровнями; в и коаксиальном кабелях средней длины
общем случае необходимы вентили с боль­ (около 3 м). Из-за быстрых фронтов боль­
шой нагрузочной способностью по току шое значение приобретает емкостная связь
(см. приведенный выше перечень под за­ с соседними линиями. Обычное «лекарс­
головком «шинные формирователи»). На тво» — это чередование с земляными ли­
рис. 9.32 показано несколько способов ниями или спаривание сигнальных линий
632 Глава 9

75361А 75152
(сдвоенный HV (двухлинейный приемник)
МОП-формирователь)

Рис. 9.33. Повышение помехоустойчивости с помощью высоковольтного кабельного формирователя.

с земляными (скрученная пара). Пробле­ устанавливать входной порог (входное со­


ма взаимосвязи сигналов практически противление составляет примерно 9 кОм,
лишает возможности осуществить непос­ следовательно, резистор смещения 12 кОм
редственное управление от логики с исполь­ установит порог на + 5 В) и гистерезис (в
зованием многожильных кабелей. В сле­ данном случае до ±2 В). Нагрузка линии
дующем разделе мы покажем несколько 120 Ом согласовывает характеристический
интересных осциллограмм, иллюстрирую­ импеданс скрученной пары.
щих эту проблему, и познакомим с другим Трапецеидальное управление. Для сниже­
эффективным «лекарством», дифференци­ ния остроты проблемы емкостной связи с
альным логическим управлением. соседними линиями фирма National изго­
Важное замечание: никогда не пытай­ тавливает линейные формирователи/ при­
тесь управлять длинными линиями от не­ емники (серии DS3662, DS3890) с управ­
буферированных тактируемых элементов ляемым временем переключения формиро­
(триггеров, одновибраторов, счетчиков и вателя в сочетании с управляемым временем
некоторых регистров сдвига); емкостная отклика приемника. По существу это сво­
нагрузка и эффекты «длинных линий» дится к управлению линией, нагруженной
могут вызвать неправильное поведение на схему, показанную на рис. 9.32.
схемы. «Буферированные» элементы со­ Дифференциальное управление; стандарт
держат выходные формирователи, вклю­ RS-422. Намного более высокую поме­
ченные между внутренними регистрами и хоустойчивость можно получить, используя
выходными контактами и поэтому «не дифференциальные сигналы, т. е. подавая
видят» реальных сигналов (с плохими па­ Q и Q' на скрученную пару с дифферен­
раметрами) на выходных линиях и не стал­ циальным приемником (рис. 9.34). Здесь
киваются с этой проблемой. парные ТТЛ-инверторы посылают в нагру­
Управление от высоковольтной логики. женную скрученную пару прямой и инвер­
Если для передачи сигналов по кабелям вы сный сигналы, а дифференциальный ли­
используете непосредственное управление нейный приемник 75115 воспроизводит
от логики, то вы можете повысить поме­ чистые уровни ТТЛ. Мы выбрали бипо­
хоустойчивость, увеличивая перепад сиг­ лярные ТТЛ-формирователи, а не КМОП,
налов. В примере, показанном на рис. поскольку они менее склонны к разруше­
9.33, в качестве генератора 12-вольтового нию от статического электричества и к ти­
логического перепада для скрученной па­ ристорному защелкиванию из-за отраже­
ры используется элемент 75361 «формиро­ ний в линии. Эта схема обеспечивает вы­
ватель ТТЛ-МОП». Приемником являет­ сокую степень подавления синфазных по­
ся элемент 75152, который позволяет мех и восстанавливает четкие логические
Сопряжение цифровых и аналоговых сигналов 633

Рис. 9.34. Быстродействующие дифференциальные кабельные TTJl-передатчики и приемники.

уровни из линейных сигналов, которые каждом формирователе не ставят, а пере­


могут выглядеть довольно устрашающе. носят ее в самый дальний от приемника
Показанная на рисунке форма колебаний конец линии.
дает лишь общее представление о том, Наш опыт показывает, что дифферен­
что можно увидеть на отдельных сигналь­ циальные формирователи с отводом тока
ных линиях в сравнительной чистой систе­ позволяют достичь действительно впечат­
ме; реальные сигналы могут быть доволь­ ляющей скорости передачи данных. Это
но сильно искажены, хотя и будут оста­ объясняется, по-видимому, тем, что вы-
ваться монотонными (отсутствует обратная сокоимпедансное управление с отдачей
волна). тока гарантирует возможность нагрузки
Примером линейного приемника с наст­ кабеля на его характеристическое сопро­
раиваемым временем отклика является тивление для обоих состояний формиро­
элемент 75115; другой дифференциальный вателя. В соответствии с техническими
приемник (75152) позволяет управлять данными скорость передачи составляет
гистерезисом. Для душевного сп о ко й ­ более 1 М бит/с на линии длиной 500 м и
ствия желательно использовать приемник достигает 10 М бит/с на линии длиной
с гистерезисом (и с настраиваемой посто­ несколько десятков метров и менее.
янной времени); такие приемники как раз Реальные осциллограммы, приведенные
и призваны для того, чтобы разбираться на рис. 9.36, показывают, насколько эф ­
с самыми причудливыми формами сиг­ фективным может оказаться дифференци­
налов. альное управление с отводом тока при реше-
Формирователи с отводом тока. Элемен­
ты типа 75S110 и МС3453 имеют комму­
тируемые выходы с отводом тока, кото­
рые можно использовать как выходы для
однопроводной схемы или, как показано
на рис. 9.35, в дифференциальном режи­
ме. Элемент 75107 является парным диф ­
ференциальным приемником, который
обычно используется с согласующей на­
грузкой, как показано на рисунке. Н е­
сколько формирователей могут совмест­
но использовать одну дифференциальную
линию в режиме «групповой линии», по­
скольку их выходы могут отключаться в Рис. 9.35. Дифференциальная схема токовой связи
3-е состояние; в этом случае нагрузку на- с приемником.
a 6 в

Рис. 9.36. Осциллограммы, показывающие превосходную помехоустойчивость дифференциальной переда­


чи данных (дифференциальный приемник 75108). (С разрешения фирмы Texas Instruments.) а — вход при­
емника ( + ); б — вход приемника ( —); в — выход приемника.

нии проблемы си н ф азн ы х помех. На мость реальной скорости передачи данных


представленном примере сигнал с разма­ от длины линии.
хом 50 мВ «загрязнен» синфазной поме­ Распространенной серией формирова­
хой с размахом 4 В. телей/приемников для RS-422/3 является
Стандарт RS-422/423. Этот стандарт серия 26LS30-34 фирмы AM D с расш и­
передачи данных, разработанный с целью ренной вторичной поставкой от других
зам ены р аспростран ен ного стандарта изготовителей; более поздние элементы
RS-232, предназначен прежде всего для ра­ 75ALS192/4 и серия DS34F30/80 имеют
боты со скрученной парой или плоским ка­ повышенное быстродействие при меньшей
белем. Его можно использовать как в не­ м ощ ности. Мы и сп ользовали RS-422
сбалансированной схеме (RS-423, 100 кбит/с для плоского кабеля из скрученных пар
макс.), так и сбалансированной схеме в том случае, когда хотели объединить
(RS-422, 10 Мбит/с макс). В несбаланси­ параллельные порты и управляющие сиг­
рованном режиме можно использовать налы набора из 144 микропроцессорных
биполярные сигнальные уровни (источни­ плат в схему типа «звезды». Мы изгото­
ки ± 5 В) с управляемой скоростью нарас­ вили 9 групп по 16 процессорных плат,
тания, как и в RS-232. В сбалансирован­ каж дая группа содерж ала такж е одну
ном режиме используются однополярные интерф ейсную плату, и использовали
TTJT-уровни (и по одному источнику пи­ ТТЛ-сигналы между процессорами и в ин­
тания + 5 В) без ограничения скорости терфейсе; затем, объединив 9 интерфейс­
нарастания. На рис. 9.37 показана зависи- ных плат, мы подключили их к внешнему
Ю4 г

103 -

£ 10г -
S

Рис. 9.37. Зависимость между скорое- 10


тью передачи данных при последователь­
ной связи и длиной кабеля. Скорость передачи данных, бит/с
Сопряжение цифровых и аналоговых сигналов 635

* 1 . ...............

г * # 1 •-•■■ ^

Рис. 9.38. Ухудшение параметров и перекрестные помехи цифровых сигналов, а - прямоугольные импульсы
с TTJI-уровнями частотой 1 МГц на 10 фугах ненагруженного плоского кабеля с заземлениями через опреде­
ленные интервалы, 1 В/дел.; 6 - парный провод к а с низким TTJI-уровнем; в, г - то же, что а и 6, но с
нагрузкой 220/330 Ом, подключенной к +5 В; д, е - то же, что в, г, но с использованием скрученной пары
вместо плоского кабеля; ж, з — то же, что в, г, но с использованием плоского кабеля с земляной платой;

компьютеру с помощью RS-422 (по диф­ Мы предпочитаем использовать диф ­


ференциальной схеме). Полная длина ка­ ференциальную передачу сигналов в тех
беля составила примерно 8 м с шунтиро­ случаях, где важную роль играют надеж­
ванием каждой пары с обоих концов рези­ ность и хорошая помехозащищенность. За
сторами 100 Ом. Вся система чрезвычайно счет эффектов компенсации дифференци­
проста и надежно работает на нашей ско­ альный сигнал обеспечивает низкую сте­
рости передачи около 1 Мбит/с. пень связи с другими сигналами («пере­
636 Глава 9

л м
Рис. 9.38. Продолжение.
и - пара с низким уровнем для RS-422, на 100 фугах плоского кабеля, смежного с парой, по которой проходят
дифференциальные прямоугольные импульсы RS-422 частотой 100 кГц; 0,1 В/дел. (заметьте, что масштаб
изменился); к - то же, что и, но сигналы разделены заземленной парой; л, м —то же, что и, к, но вместо
плоского кабеля используется «скрученная и плоская» пара.

крестные помехи»). Использование скру­ длинному кабелю, в то время как непо­


ченной пары, а не плоского кабеля, даже средственное управление от логики весь­
улучшает работу. На рис. 9.38 показано ма ограничено в своих возможностях да­
несколько осциллограмм, полученных для же на средних длинах, хотя его можно
RS-422 и для непосредственного управ­ несколько улучшить, используя нагрузку
ления от логики с использованием как и плоский кабель с общей земляной по­
плоского кабеля, так и плоской скру­ верхностью. Вопреки ожиданиям, скручен­
ченной пары (последняя была в действи­ ная пара оказалась нисколько не лучше
тельности вариантом, известным под на­ плоского кабеля при непосредственном
званием «скрученный и плоский»; это со­ управлении от логики.
единение состоит из жгута скрученных Дифференциальные линейные прием­
пар, прерываемых на 0,05 м через каждые ники работают нормально до тех пор, пока
0,5 м для того, чтобы сделать плоскую принимаемые сигналы находятся в пре­
выводную площадку). Для RS-422 мы делах допустимого диапазона синфазных
использовали 30-метровый кабель, по напряжений, обычно в несколько вольт
одной паре которого мы передавали сиг­ (для 75108—±3 В). При использовании
нал с размахом 6 В частотой 100 кГц длинных линий вы можете, однако, очу­
и наблюдали за перекрестными помехами титься в ситуации либо высокочастотных
на соседней паре; обе пары были нагруже­ синфазных помех, либо низкочастотных
ны. При непосредственном управлении от разностей напряжений между источником
логики использовались формирователи и линией, превышающими в обоих слу­
74LS244 на частоте 1 МГц с 3-метровым чаях синф азны й диапазон приемника.
кабелем в двух вариантах: с нагрузкой и Если эти п роблем ы встаю т сли ш ком
без нагрузки. Осциллограммы с очевид­ остро, можно использовать пару рези­
ностью показывают, что RS-422 чрезвы­ стивных делителей на входе приемника,
чайно надежен даже при передаче по или использовать приемник с встроенным
Сопряжение цифровых и аналоговых сигналов 637

Данные Команда

Рис. 9.39. Набор кристаллов AMD TAXI для быстродействующей линии последовательной связи. (С разреше­
ния фирмы Advanced Micro Devices), а — передатчик Аш7968; б — приемник Ат7969.

аттенюатором, например 26LS33, п ри ­ (см. разд. 10.21) обычно используют ин­


емник для RS-422 с синфазным диапазо­ дуктивную связь.
ном ±15 В. Кристалл TAXI фирмы AMD. Фирмой
При передаче сигналов по действитель­ AM D разработана весьма интересная пара
но длинным кабелям или при передаче дифференциальных передатчиков/прием­
в условиях очень сильных помех обычно ников, Агп7968/9, содержащая для облег­
используют индуктивную связь. Приме­ чения прим енения все разновидности
нив трансформ аторы , вы, разумеется, внутренних регистров (рис. 9.39). Вы
лишаетесь возможности передавать логи­ можете, наприм ер, рассм атривать ИС
ческие сигналы постоянного тока: вы вы­ передатчика как 8-битовую защелку со
нуждены кодировать данные определен­ стробированием и квитированием; схема
ным способом, например с использова­ в таком применении преобразует байты
нием «несущего» сигнала. Локальные сети в последовательные данные, дополняет
638 Глава 9

эту последовательность соответствующими j 10194 ^10194


битами синхронизации, передает данные / Ч У........... ч
в последовательную линию связи и вос­
производит байты на другом конце. По
отношению к пользователю линия выгля­
дит как простой параллельный регистр.
Эти И С содержат кабельные формирова­
тели и приемники для 50-омного кабеля,
работающие от одного источника питания
+5 В; они обладают достаточно высоким
быстродействием: скорость передачи дан­
Рис. 9.41. Токовый приемопередатчик ЗСЛ (дуплекс­
ных составляет 32—100 М бит/с (от 4 до
ный).
12,5 Мбит/с). ИСТАХ1 предназначены для
сверхскоростных линий передачи данных нагрузкой, а 8Т24 обладает гистерезисом
общего назначения со связью по перемен­ фиксированной величины для обеспечения
ному или постоянному току. Реальной помехоустойчивости и малым временем
средой передачи может быть простое со­ переключения выхода. Скорость переда­
единение через провода, скрученны е чи в такой схеме достигает 100 кбит/с на
пары, коаксиальны е кабели, кабели с кабеле в 1609 м и до 20 М бит/с на более
трансформаторной связью или даже во­ коротких линиях. Другая пара формиро­
локонно-оптические линии. вателей/приемников входит в интерфейс­
Формирователи для коаксиальных ка­ ные семейства 8Тхс и 75ххх. Элементы
белей. Благодаря своей геометрии коак­ 74F3037 (счетверенный) и 74F30244 (окталь­
сиальные кабели обладают очень хорошей ный) предназначены для управления кабе­
защ итой от внеш них влияний. Кроме лями с импедансом ниже 30 Ом (напри­
того, однородность диаметра и внутрен­ мер, кабелем, нагруженным с двух кон­
них размеров (по сравнению со случай­ цов). При управлении 50-омными коак­
ными отклонениями в случае жгутов и сиальными линиями непременно исполь­
скрученных пар) позволяет достаточно зуйте приемники с соответствующ ими
точно предсказывать величину характе­ техническими характеристиками, посколь­
ристического импеданса и, следователь­ ку уровни напряжений на нагруженном
но, обеспечить превосходные условия для кабеле могут оказаться меньше обычных
передачи; именно по этой причине толь­ логических уровней.
ко они используются для передачи ана­ Различные семейства ЭСЛ содержат
логовых радиочастотных сигналов. несколько пар формирователей/приемни­
Существуют несколько пар формиро­ ков для 50-омных коаксиальных линий,
вателей/приемников, удобных для цифро­ например 10128/10129. Превосходным ко­
вой передачи по коаксиальному кабелю; аксиальны м формирователем является
пример показан на рис. 9.40. Кабель на­ ИС10194; по существу это шинный при­
гружен на характеристическое сопротивле­ емопередатчик, предназначенный для од­
ние, в данном случае 51 Ом. Элемент 8Т23 новременной передачи и приема по од­
может непосредственно управлять 50-омной ной линии (дуплексная связь, рис. 9.41).
При использовании этого способа каждая
+5 +5
И С может осуществлять передачу к друго­
му приемопередатчику и одновременно
принимать от него данные в асинхронном
режиме без перекрестных помех на ско­
рости 100 МГц и выше.
С помощью одного эмиттерного прп-
повторителя вы можете непосредственно
Рис. 9.40. Передатчик и приемник для 50-омного управлять коаксиальным кабелем опреде­
кабеля. ленной длины от +5-вольтовой логики
Сопряжение цифровых и аналоговых сигналов 639

оптических кабелей. Это кабели с превос­


ходным пластиковым покрытием с согла­
сующими соединителями, излучателями
и детекторами. Высококачественные во-
локонно-оптические кабели могут осуще­
ствлять передачу в полосе частот до не­
скольких гигагерц на расстояния в десят­
ки и сотни километров без потери дробных
децибел на километр. По сравнению с
коаксиальными кабелями, которые могут
Рис. 9.42. Простой формирователь для 50-омного иметь разброс параметров (скорость рас­
кабеля. пространения зависит от частоты, коли­
чество потерь также определяется часто­
(рис. 9.42). Транзистор 2N4401 — это не­ той, что вызывает искажения колебаний),
большой мощный транзистор с большим дисперсия волоконно-оптических кабелей
коэффициентом усиления по току в схеме незначительна. Кроме того, волоконно-
с общим эмиттером при большом токе оптические кабели являются изолятора­
(й21Э > 100 при / к = 150 мА). 10-омный ми, поэтому их можно использовать для
резистор включен для защиты от корот­ передачи сигналов между устройствами с
кого замыкания. По сравнению с тщ а­ изолированной землей или на различных
тельно спроектированным и дорогостоя­ напряжениях. В отличие от обычных ка­
щими ИС-формирователями для 50-омных белей они не являются антеннами по от­
кабелей эта схема до удивления проста. ношению к радиочастотным и импульс­
Заметьте, что для нормальной работы вы­ ным помехам. Они легче, безопаснее,
ход с открытым эмиттером должен нагру­ обладают более высокой стойкостью, чем
жаться на низкое сопротивление на зем­ традиционные кабели, к тому же они по­
лю, что справедливо и для некоторых ин­ тенциально дешевле.
тегральных кабельных формирователей. Существует несколько типов волокон-
Волоконно-оптические кабели. Новый но-оптических кабелей, позволяющих сде­
многообещающий способ передачи сигна­ лать выбор между стоимостью и произво­
лов основан на применении волоконно­ дительностью (длина на ширину полосы).
Д о 30 футов кабеля
D uPont 0Е 1 04 0 или
Eska SH4001
640 Глава 9

Самым дешевым является многомодовое традиционно аналоговой работы. А на­


волокно со ступенчатым изменением ко­ логоцифровое преобразование следует ис­
эффициента преломления; обычно это пользовать в областях, где для обеспече­
пластиковое волокно диаметром 1 мм. ния помехоустойчивой и шумозащищен­
Вы можете передавать по нему излучение ной передачи аналоговая инф орм ация
инфракрасного светодиода (а не лазерно­ преобразуется в промежуточную цифро­
го диода), а в качестве детектора использо­ вую форму (например, «цифровая звуко-
вать ф ототранзистор или p —i —n-диод. техника» или импульсно-кодовая моду­
Фирма Motorola производит недорогой ляция). Это требуется в самых разнооб­
набор формирователей/приемников (менее разных измерительных средствах (включая
доллара за штуку); элементы этого набора обычные настольные приборы типа циф­
прямо насаживаются на кабель в оболочке ровых универсальных измерительных при­
(серия M FOE71/M FOD 71-73); с их помо­ боров и более экзотические приборы, та­
щью можно передавать данные со скоро­ кие как усреднители переходных процес­
стью 5 М бит/с по 10-метровому пласти­ со в , «ловуш ки для вы б р о со в » и
ковому кабелю, описанному выше (см. осциллографы с цифровой памятью), а
рис. 9.43). Кабели более высокого каче­ также в устройствах генерации и обработ­
ства используют стекловолокна — много­ ки сигналов, таких как цифровые синте­
модовые со ступенчатым изменением ко­ заторы колебаний и устройства шифрова­
эффициента преломления, многомодовые ния данных.
с плавным изменением коэф ф ициента И н аконец, техника преобразования
преломления (лучше) или одномодовые является сущ ественной составляю щ ей
(самые лучшие). Используя стекловолок­ способов формирования аналоговых изоб­
но 200 мкм со ступенчатым изменением ражений с помощью цифровых средств,
коэффициента преломления, можно дос­ например, показаний измерительных при­
тичь скорости 5 Мбит/с на 1 км пути со боров или двухкоординатных изображе­
стандартными волоконно-оптическими ний, создаваемых компьютером. Даже в
компонентами, включающими соедините­ относительно простой электронной аппа­
ли, элементы связи, делители/объедините­ ратуре существует масса возможностей для
ли и детекторы с встроенными усилителя­ применения аналогоцифрового и цифро-
ми. Последним достижением в области аналогового преобразования, так что зна­
широкополосной волоконно-оптической комство с различными способами и дос­
дальней связи является 4 ГГц на 120 км пути тупны ми модулями, используемы м и в
без повторителей. аналогоцифровом преобразовании, весь­
ма полезно, тем более, что в настоящее
АНАЛОГОЦИФРОВОЕ время можно приобрести АЦП и ЦАП по
ПРЕОБРАЗОВАНИЕ 5 долл. за штуку.
Наше знакомство с различными мето­
9.15. Введение в аналогоцифровое дами преобразования не будет носить ха­
преобразование рактер курса по проектированию преоб­
разователей. Мы попытаемся показать
Кроме чисто «цифрового» сопряж ения преимущества и недостатки каждого ме­
(ключи, лампы и т. п.), которое обсуж­ тода, поскольку в большинстве случаев
далось в предыдущих разделах, часто тре­ задача состоит в том, чтобы купить ком­
буется преобразовать аналоговый сигнал мерчески доступный кристалл или мо­
в число, пропорциональное амплитуде дуль, а не построить его с начала до кон­
сигнала, и наоборот. Это играет важную ца. Понимание техники преобразования
роль в тех случаях, когда компьютер или и знание особенностей методов преобра­
процессор регистрируют или контролиру­ зования будут руководить вами при выбо­
ют ход эксперимента или технологическо­ ре блока из сотни доступных.
го процесса, или всякий раз, когда цифро­ Коды. Здесь вы должны вспомнить разд.
вая техника используется для выполнения 8.03, в котором о п и с ан ы р азл и ч н ы е
Сопряжение цифровых и аналоговых сигналов 641

числовые коды, используемые для пред­ Погрешности преобразователей. П о ­


ставления чисел со знаком. В схемах А/Ц грешности А /Ц - и Ц/А-преобразований -
преобразования используют обычно сме­ весьма сложная тема, которой можно по­
щ енный двоичный и дополнительны й святить целые тома. Как выразился Берни
коды, время от времени появляются также Гордон из фирмы Analogic, если вы по­
прямой код со знаком и код Грея. Д о­ лагаете, что система преобразования вы ­
полним ваши воспоминания: сокой точности живет в соответствии с
Смешенный Дополнитель-
объявленными техническими данными, то
двоичный код ный код вы, вероятно, не достаточно близко с ней
+ Полная шкала 11111111 01111111
познакомились. Мы не будем следовать
+ Полная шкала —1 11111110 01111110 такому прикладному сценарию с тем, что­
4 I 4 бы поддержать высказывание Берни, но
0+1 МЗР 10000001 00000001 покажем 4 наиболее общих типа погреш­
о 10000000 00000000 ностей преобразования. Не желая утом­
О- 1 МЗР 01111111 11111111
I I 4. лять вас умными разговорами, мы просто
- Полная шкала +1 00000001 10000001 представим графики, не требующие пояс­
- Полная шкала 00000000 10000000 нений, для 4-х наиболее распространенных

МЗР

000 001 010 011 100 101 110 111


Цифровой код

000 001 010 011 100 101 110 111


Цифровой код Цифровой код

Рис. 9.44. Четыре основных типа погрешностей аналогоцифрового преобразования. (С разрешения фирмы
National Semiconductor.) а - передаточная характеристика АЦП со сдвигом нуля на 1/2 МЗР; 6 - линейная
погрешность шкалы на 1 МЗР; в —+1/2 МЗР нелинейности (включая возможную погрешность 1 МЗР); 1 МЗР
дифференциальной нелинейности (при сохранении монотонности); г - немонотонность (нелинейность должна
быть больше ±1/2 МЗР).
642 Глава 9

типов погрешностей: погрешности сдви­ ся, чтобы выход изменялся от 0 до - 6,3 В


га, погрешности шкалы, нелинейность и (т. е. сделать так, чтобы выход в вольтах
немонотонность (рис. 9.44). был бы численно равен - 1/10 входного
числа), можно добавить также инверти­
9.16. Цифроаналоговые рующий усилитель или постоянное сме­
преобразователи (ЦАП) щение на суммирующий вход, чтобы по­
лучить положительный выход. Изменяя
Цель состоит в том, чтобы преобразовать значения входных резисторов, можно со­
количество, определенное в виде двоич­ ответствующим образом преобразовать
ного числа (или многоразрядного двоич- м ногоразрядны й двои ч н о-десяти ч н ы й
но-десятичного числа), в напряжение или входной код, или любой другой взвешен­
ток, пропорциональное значению цифро­ ный код. Входные напряжения должны
вого входа. Рассмотрим несколько рас­ соответствовать точны м эталонам; чем
пространенных способов преобразования. меньше значение входного резистора, тем
Включение масштабирующих резисторов большую точность он должен иметь. Ра­
в суммирующее соединение. Как вы уже зумеется, сопротивление переключения
видели в разд. 4.09, подключая несколь­ должно быть меньше чем 1/ 2" величины
ко резисторов к суммирующему входу опе­ самого маленького резистора; это важное
рационного усилителя, на выходе можно замечание, поскольку переключение во
получить напряжение, пропорциональное всех реальных схемах выполняется с по­
взвешенной сумме входных напряжений м ощ ью тран зи сторов или клю чей на
(рис. 9.45). Напряжение на выходе этой МОП-транзисторах. Этот способ преоб­
схемы изменяется от 0 до -1 0 В, причем разования используется только в быстрых
максимальный выход соответствует вход­ преобразователях низкой точности.
ному числу 64. Действительно, максималь­
ное входное число всегда равно 2" — 1, Упражнение 9.2. Спроектируйте 2-разрядный
т. е. все разряды находятся в «1». В дан­ двоично-десятичный ЦАП. Используйте входы с пе­
репадом от 0 до +1 В, выход при этом должен изме­
ном случае максимальное входное число няться от 0 до 9,9 В.
равно 63, а соответствующее выходное
напряжение равно — 10 х 63/64. Изменяя Цепная R-2R-cxeMa. Способ масштаби­
резистор обратной связи, можно добить- рующих резисторов становится неудобным,
+1,0 в если преобразованию подвергается много
разрядов. Например, для 12-разрядного
преобразователя потребуется соотнош е­
ние величин резисторов 2000:1 с соот­
ветствующей точностью самого малень­
кого рези стора. Ц еп н ая Л -2Л -схем а,
п о к азан н ая на рис. 9.46, приводит к
изящ ному решению этой задачи. Здесь
требуются только 2 значения резисторов,
по которым Л-2Л-схема формирует токи
с двоичным масштабированием. Резис­
торы, конечно, должны быть точно по­
добраны, хотя действительные их величи­
ны не так существенны. Приведенная схе­
ма формирует выходное напряжение от 0
до —10 В с полным выходом, соответ­
ствующим числу 16 (опять же максималь­
ное входное число равно 15 при выходном
напряжении 10 х 15/16). Для двоично-де-
сятичного преобразования используется
Рис. 9.45. несколько модификаций Jt-2Jt-cxm s.
Сопряжение цифровых и аналоговых сигналов 643

Рис. 9.46. Схема лестничного типа R-2R.

Упражнение 9.3. Покажите, что приведенная выше или к земле под управлением цифрового
Л-2Л-схема выполняет функцию преобразования пра­ входного кода. В ЦАП с токовым выхо­
вильно.
дом следует принимать во внимание ог­
Источники масштабирующих токов. В схе­ раничение по размаху выхода; он может
ме упомянутого выше Л-2Л-преобразовате- достигать всего 0,5 В, хотя типовое его зна­
ля операционный усилитель преобразует чение составляет несколько вольт.
двоично-масштабированные токи в выход­ Формирование выходного сигнала на­
ное напряжение. Во многих случаях вы­ пряжения. Существует несколько спосо­
ходное напряжение является наиболее удоб­ бов формирования выходного напряжения
ным видом сигнала, но операционны е для токовых ЦАП. Некоторые из них по­
усилители, как правило, составляют са­ казаны на рис. 9.48. Если емкость нагруз­
мую медленную часть преобразователя. ки невелика, а требуемый перепад напря­
Если вы используете преобразователь с жения достаточно большой, то прекрас­
токовым выходом, вы добьетесь лучших но работает схема с обычным резистором,
характеристик за более низкую цену. Рис. подклю ченным к земле. П ри типовом
9.47 иллюстрирует общую идею. Токи полномасштабном выходном токе 1 мА
можно сформировать с помощью матри­ нагрузочный резистор 100 Ом обеспечи­
цы транзисторных источников тока с мас­ вает полномасштабное выходное напря­
штабирующими эмиттерными резистора­ жение 100 мВ с выходным импедансом
ми, хотя проектировщики И С предпочи­ 100 Ом. Если суммарная емкость выхода
тают использовать цепную Л-2Л-схему из ЦАП и емкость нагрузки не превышает
эмиттерных резисторов. В большинстве 100 пкФ, то время установки в предыду­
преобразователей этого типа источники щем примере будет равно 100 не, предпо­
тока включены все время, а их выходной лагая, что быстродействие ЦАП несколько
ток подключается к выходному контакту выше. Анализируя влияние постоянной

Рис. 9.47. Классическая схема ЦАП


с коммутацией токов.
644 Глава 9

Рис. 9.48. Формирование напряжения по токовому выходу ЦАП.

времени ЛС-цепочки. Не забывайте, что ма обеспечивает лучшие характеристики,


выходное напряжение установится с точ­ поскольку не требует компенсирующего
ностью до 1/2 М ЗР за время, составляю­ конденсатора. Старайтесь избегать п о­
щее несколько постоянных времени. На­ грешностей напряжения сдвига — опера­
пример, время установления выхода с ционны й усилитель усиливает входное
точностью 1/2048 для 10-разрядного пре­ напряжение сдвига в 100 раз.
образователя составляет 7,6 постоянных Коммерчески доступные модули ЦАП
времени ЛС-цепочки. обладают точностью от 6 до 18 бит и вре­
Для того чтобы сформировать большой менем установления от 22 не до 100 мкс
перепад напряжения или согласовать вы ­ (ЦАП с самой высокой точностью). Цены
ход с низкоомной нагрузкой или с боль­ на ЦАП колеблются от нескольких дол­
шой нагрузочной емкостью, можно ис­ ларов до нескольких сотен долларов. Ти­
пользовать показанную на рисунке схе­ повым широко распространенным блоком
му с р е зи с т и в н о й о б р а т н о й св язь ю является AD7248, 12-разрядный преобра­
(усилитель тока с выходом по напряж е­ зователь с защелкой и внутренним опор­
нию). Конденсатор, шунтирующий ре­ ным источником и с временем установле­
зистор обратной связи, необходим для ния для выхода по напряжению, равным
обеспечения устойчивости, поскольку 5 мкс. Цена его составляет около 10 долл.
вы ходная ем кость ЦАП в соч етан и и
с резистором обратной связи создает за­ 9.17. Интегрирующие ЦАП
паздывающий фазовый сдвиг; это, к со ­
жалению, снижает быстродействие уси­ В прикладных задачах «цифровой» вход
лителя. Схема обладает одной заним а­ может представлять собой последователь­
тельной особенностью: для поддержания ность импульсов или колебание другого
высокой скорости даже недорогого ЦАП вида определенной частоты. В этом слу­
может потребоваться относительно д о­ чае непосредственное преобразование в
рогой бы стродействую щ ий (с малы м напряж ение иногда оказы вается более
врем енем у стан о в ки ) о п ер ац и о н н ы й удобным, чем предварительный отсчет
усилитель. На практике последняя схе­ времени с последующим преобразова­
Сопряжение цифровых и аналоговых сигналов 645

нием двоичного числа по описанным выше ший фильтр низких частот для того, что­
способам. При прямом пребразовании бы сформировать выходное напряжение,
частоты в напряжение на каждом входном пропорциональное среднему времени пре­
цикле генерируется стандартный импульс; бывания в высоком состоянии, т. е. про­
он может быть как импульсом напряже­ п о рц и он ал ьн ое ц и ф ровом у входному
ния, так и импульсом тока (т. е. фикси­ коду. Наиболее часто этот вид Ц/А-пре-
рованным количеством заряда). образования используется, когда сама на­
Импульсная последовательность усред­ грузка является системой с медленной
няется ЛС-фильтром низких частот или реакцией; в этом случае ш и ротн о-и м ­
интегратором, создавая выходное напря­ пульсный модулятор генерирует точные
жение, пропорциональное средней вход­ порции энергии, усредняемые системой,
ной частоте. Выход, разумеется, имеет подключенной в качестве нагрузки. Н а­
пульсации и для того, чтобы их умень­ грузка, например, может быть емкостной
шить до уровня точности ЦАП (т. е. до (как в стабилизаторе с импульсным регу­
1/2 МЗР) используют фильтр низкой ча­ лированием, см. гл. 6), термической (тер­
стоты, который замедляет выходную ре­ мостатированная ванна с нагревателем),
акцию преобразователя. Для того чтобы механической (система автоматического
пульсации были меньше 1/2 М ЗР, посто­ регулирования скорости ленты) или элек­
янная времени Т простого ЛС-фильтра. тромагнитной (большой электромагнит­
низких частот должна быть, по крайней ный регулятор).
мере, равной Т = 0,69 (и + 1)То, где Г - Упражнение 9.4. Постройте схему формирования
период выходного сигнала «-разрядного импульсной последовательности 10 кГц с длитель­
преобразователя частоты в напряжение, ностью импульсов, пропорциональной 8-разрядно-
соответствующий максимальной входной му двоичному входному коду. Используйте счетчи­
частоте. Другими словами, время установ­ ки и компараторы (с соответствующими расшири­
телями).
ления выхода до 1/2 М ЗР будет примерно
равно / = 0,5(« + 1)2То. 10-разрядный пре­ Умножитель частоты с усреднением.
образователь частоты в напряжение с мак­ Схему умножителя частоты, описанную в
симальной входной частотой 100 кГц при разд. 8.28, можно использовать для со­
использовании сглаживающего ДС-филь- здания простого ЦАП. П араллельны й
тра будет иметь время установления вы­ двоичный или двоично-десятичный вход­
ходного напряжения 0,6 мс. Используя ной код преобразуется в последователь­
более сложный фильтр низких частот ность выходных импульсов со средней ча­
(с крутым срезом) можно добиться луч­ стотой, пропорциональной цифровому
ших результатов. Однако прежде чем ув­ входу; для формирования выхода по по­
лекаться затейливыми схемами фильтров, стоянному току, пропорционального циф­
вспомните, что очень часто преобразова­ ровому входному коду, можно, как и для
ние частоты в напряжение используется, описанного выше преобразователя часто­
когда не требуется выход по напряжению. ты в напряжение, использовать простое
Ниже мы коснемся существенно инерци­ усреднение, хотя в данном случае вели­
онных нагрузок в сочетании с широтно­ чина постоянной времени выхода может
импульсной модуляцией. оказаться недопустимо большой, посколь­
Широтно-импульсная модуляция. В этом ку время усреднения на выходе умножи­
способе используется цифровой входной теля частоты должно быть равно наиболь­
код для формирования последовательно­ шему периоду выходного сигнала умно­
сти импульсов фиксированной частоты с ж ителя. Д о сто и н ства ум нож ителей
длительностью импульсов, пропорцио­ частоты как Ц/А-преобразователей осо­
нальной входному числу. Легче всего это бен но п роявл яю тся, когда выход у с­
сделать с помощью счетчика, компарато­ редняется за счет сильной инерционнос­
ра и высокочастотного генератора такто­ ти самой нагрузки.
вых импульсов (см. упражнение 9.4). Как По-видимому, лучше всего применять
и прежде, можно использовать простей­ такие преобразователи при циф ровом
646 Глава 9

управлении температурой, где по каждо­ стоимость которых колеблется от 10 до


му выходному импульсу частотного умно­ 20 долл.
жителя происходит переключение полных Умножающие ЦАП (и А /Ц -эквивален-
периодов напряжения переменного тока ты) открывают возможности для лого­
на нагревателе. Частотный умножитель метрических измерений и преобразова­
при этом организуется таким образом, ний. Если некоторый датчик (например,
чтобы его самая низкая выходная частота резистивный датчик типа термистора) пи­
была бы равна целочисленному делителю тается от эталонного напряжения, кото­
120 Гц, а для коммутирования напряже­ рое подается также на А /Ц - или Ц/А -пре-
ния переменного тока (при пересечении образователь в качестве опорного напря­
нуля) по логическим сигналам исполь­ жения, то изменения эталонного напря­
зуется твердотельное реле (или симис- жения не повлияют на результаты изме­
тор). рений. Эта идея чрезвычайно плодотвор­
Обратите внимание, что последние три на, поскольку позволяет проводить изме­
способа преобразования основывались на рения и управление с точностью, пре­
усреднении во времени, в то время как вы ш аю щ ей стаб и л ьн о сть этал о н н о го
методы на основе цепной резисторной источника напряжения или источника пи­
схемы и источиков тока по сущ еству тания, и наоборот, смягчить требования по
«мгновенны». Эта особенность присуща стабильности и точности источника пи­
и различным методам аналогоцифрового тания. Логометрический принцип в сво­
преобразования. Усредняет ли преобра­ ей простейшей форме используется в клас­
зователь входной сигнал или преобразует сической мостовой схеме, где за счет све­
отсчеты мгновенно, имеет, как вы вско­ дения к нулю разностного сигнала между
ре убедитесь на некоторых примерах, боль­ двумя выходами делителей напряжения ус­
шое значение. танавливается равенство двух отношений
(см. разд. 15.02). Приборы типа 555 (см.
9.18. ЦАП с умножением разд. 5.14) позволяют добиться хорошей
стабильности выходной частоты при зна­
Большинство из ранее рассмотренных спо­ чительных изменениях напряжения пита­
собов можно использовать для построе­ ния; это достигается благодаря примене­
ния ЦАП с умножением, в которых вы­ нию логометрической схемы: напряжение
ход равен произведению входного напря­ на конденсаторе, формируемое с помощью
жения (или тока) на входной цифровой ЛС-цепочки от источника питания, срав­
код. В ЦАП с масштабируемыми источ­ нивается с фиксированной долей напряже­
никами тока вы можете, например, от­
градуировать все внутренние источники ния питания ( j ^кк и у ^кк j . Результи­
тока с помощью входного программиру­
ющего тока. Умножающие ЦАП можно рующая выходная частота будет зависеть
выполнить на ЦАП, которые не имеют только от постоянной времени ЛС-цепи.
внутреннего опорного источника, исполь­ К этой важной теме мы еще не раз вер­
зуя вход опорного напряжения для вход­ немся и в этой главе в связи с АЦП и в
ного аналогового сигнала. Однако для этой гл. 15, когда мы будем обсуждать методы
цели пригодны не все ЦАП, поэтому сле­ научных измерений.
дует изучить внимательно их паспортные
данные. В паспортные данные на ЦАП с 9.19. Выбор ЦАП
хорошими «множительными» свойствами
(широкий диапазон входного аналогового В качестве справочного материала, необ­
сигнала, высокая скорость и т. п.) в верх­ ходимого для выбора ЦАП для конкретного
ний правый угол обычно вносится помет­ п ри м ен ен и я, мы приводим табл. 9.4,
ка «умножающ ий ЦАП». П рим ерам и в которой перечислены самые типичные
12-разрядных умножающих ЦАП явл я­ ЦАП различной скорости и точности.
ются AD7541, 7548, 7845 и DAC1230, Этот список никоим образом не претендует
Сопряжение цифровых и аналоговых сигналов 647

■-
5
•в;
sS
, SЛ юо а
* <N 0 2 яа
I Ql
- о *5
• "в* £ ^ в §■&
2 о.
о 1§
as зг
о 2
: ю о. |q a
j о S I s с X о я о а
-« 5 Iо Оч I I ;
=N
«
2 3 ?II
О- X :
\I ! | ! 1О О1
Cl Cl 1 VO и ^ в | Я
§3 *
I S 3 3 & « 5 о
—1 OS R о « 3а
£ § = =g - e - j I Д д
и С 5 е* о >» 3

ШГ01Г ‘«шхжиохэ

aooodg
-Н8 4H380dA ЙИХЕИН + I I
а ‘Б1ГОХ1ЧН
XBWEBd ИИННЭЬШШД

^иптошжонид +
g юшойхэтгоц X +X IX X IX
В неш н.

+1
В неш н.

+1
В н еш н .

В неш н.

В неш н.

Внеш н.
■ 0 до ±

as +1
В нутр.

В н у тр .

В н у тр .
В нутр.

В нутр.
О
ч
о
et
э
и Э о
i> EJ
о О Ж
СО
о О О
<
+ 1 5 ,- 7 0
1,8 Вт

+2, - 6

5
оо0
о
0,05

160

185

100
о in

200
гч о ~
о го 0

1Л Ш m •Г) m
* 4 wo *4
*+ Т тV5 + + + +1 + + in J_| "П
+ in Ш) in 1 +l 1
24S

(в DXudo}| чО
18?
24

О 40
20

20

40
28

24
40

24

40
Таблица 9.4. Цифроаналоговые преобразователи

ОО оо OO
«N оо оо оо оо
B FO X 8 B W lB E H H E id Q X ЭО 00 00 OO OO OO X
•'t ro

о qiooHdBirou 1 + + г S 5 + S 1 + + 1 1 1 I £

эн Ч/dZO
1000

1000

190
500

350

«П 8 8 in in ТГ 2 *n m — оо о
on эиахэиэггосЦанд GO

£3 MH3 XBdueH и itи х о х *-ч *■*4 s, “-X *-4 *-«.


- 5)

ч хв и в ц Г-1 - гч - - t - i - 1 -

aoimdEBd о ш ш ь 00 оо оо оо ОО оо оо ОО oo OO oo oo oo

sDXudox а 0ахээьи1Г0>{ го •"Г - - ГЧ тГ оо — - ro - -------ro

СЛ О сл
AD

AD

AD

РМ
AD

AD

AD
ВТ

)вЧ1Гэхиаохох£и Н Q o iQ ttJ а
00 < H < H н HZ
оо оо oj о
с5 о <
“Ч о О S з§ 5 ОО X
и и —< Г ' 6 тГ О uSu
Н а < < ЛQ < Q QQQ а
<
о
< о * о со < а Ю X (- < н н in
648 Глава 9

на полноту, но он включает наиболее рас­


пространенные преобразователи и неко­
торые самые современные приборы, пред­
IX * назначенные для замены.
х S
w с При поиске ЦАП для конкретного при­
нm .
менения следует всегда помнить о некоторых
s =>
s наиболее важных моментах: а) точность;
О
О О
S СО
оо 2 б) быстродействие; в) точность установки
I - (требуется ли внеш няя под-стройка?);
х + i l a
i. я г) входная структура (память? КМ ОП/ТТЛ/
: =г : ЭСЛ-совместимость?); д) опорный источ­
ник (внутренний, внешний?); е) выходная
структура (токовый выход? размах выхода?
выход по напряжению? диапазон?); ж) не­
I I I +1 I I+ обходимые напряжения питания и мощ­
ность рассеивания; з) корпус (желательно
I с малым числом выводов «узкий DIP» ши­
риной 0,3 дюйма); и) цена.
I++ I+ + I I
X X X ++ X + X 9.20. Аналого-цифровые
преобразователи
1/1 Ю
гч гч
Я +1 +1 ; +| Можно насчитать с полдюжины основных
i« Ч
о Ч
о
+1 х х
CD О О со о со со способов А/Ц-преобразования, каждый из
ё C
l.
которых обладает своими преимущест­
40 °— ^— 5 вами и ограничениями. П оскольку вы
+
— о о +' ” +i обычно применяете готовые А/Ц-модули
o '
или И С , а не разрабатываете их сами,
ir>^2
мы по возможности кратко опишем раз­
!£ I 2 +i +l
+ «К +l j? ^ + ± личные способы преобразования главным
+ +i образом для того, чтобы помочь сделать
квалифицированный выбор для конкрет­
ного применения. В следующем разделе
Tt Tj- этой главы мы покажем несколько типо­
+++ 40 40 oo
OO00 oo вых прилож ений А /Ц -преобразования.
В гл. 11 рассмотрим некоторые АЦП, ис­
пользующие точно такие же методы пре­
образования, но выходы которых просто
ООО сопрягаются с микропроцессорами.
О ОО
VI О о
40 —' Параллельное кодирование. В этом ме­
s> • тоде напряжение входного сигнала пода­
ется на один из входов п компараторов
одновременно; другие входы компарато­
ров подключены к п опорным источни­
кам с равномерно распределенными на­
пряжениями. Ш ифратор с приоритетом
* формирует цифровой выходной сигнал,
Q DQ« Q Q Q SB m S? соответствующий самому старшему ком­
< <<Z << < moa CQ
паратору из активизированных входным
s сигналом (рис. 9.49).
OO v
\o С"Л
> О
ir> r-- U Параллельное кодирование (иногда на­
a a в< Ч <
Q Ь£
Q DD< зываемое «мгновенным» кодированием) —
< <<Q << Q
Сопряжение цифровых и аналоговых сигналов 649

+10,0 в эквивалент с улучшенными технически­


ми данными.
Существует вариант простого параллель­
ного шифратора, так называемый полу-
мгновенный шифратор, в котором исполь­
зуется двухступенчатый процесс. В этом
процессе осуществляется мгновенное пре­
образование входа до половинной задан­
ной точности; внутренние ЦАП вновь пре­
образуют приближенное значение в ана­
логовую величину, а разность между ней
и входной величиной подвергается мгно­
венному преобразованию для получения
младших значащих разрядов (рис. 9.50).
Описанный способ лежит в основе деш е­
вых преобразователей, которые обладают
самым высоким быстродействием среди
всех остальных, за исключением полнос­
тью мгновенных преобразователей. Он
используется в недорогих преобразовате­
лях типа 8-разрядных ADC0820 (National)
и AD7820/4/8 (Analog Devices).
Целесообразно рассмотреть возможность
использования мгновенных шифраторов в
области преобразования колебаний в циф­
ровую форму, даже при сравнительно
низкой скорости преобразования; их бы­
стродействие (точнее, малый апертурный
интервал, в течение которого фиксирует­
ся выход компаратора) гарантирует, что
входной сигнал за время преобразования
существенно не изменится. Альтернатив­
ный вариант (более медленные преобра­
зователи мы опишем ниже) обычно тре­
бует применения аналоговой схемы кван­
это самый быстрый метод А/Ц-преобра- тования и запоминания для того, чтобы
зования. Время задержки от входа до вы­ зафиксировать входное колебание на вре­
хода равно сумме времен задержки на ком­ мя преобразования.
параторе и ш ифраторе. П араллельные Последовательное приближение. В этом
преобразователи, выпускаемые промыш­ распространенном способе осуществляет­
ленностью, имеют от 16 до 1024 уровней ся опробование различных выходных ко­
квантования (с выходами от 4 до 16 раз­ дов путем подачи их на ЦАП и сравнения
рядов). При большем числе разрядов они результата с аналоговым входом с помо­
становятся дорогими и громоздкими. Бы­ щью ком паратора (рис. 9.51). Обычно
стродействие их колеблется от 15 до 300 процесс начинается с установки всех раз­
млн. отсчетов в секунду. Типовым мгно­ рядов в «0». Затем, начиная со старшего
венным АЦП является TDC1048 фирмы значащего разряда, каждый разряд по оче­
TRW, это биполярный 8-разрядный пре­ реди врем енно устанавливается в « 1».
образователь на 20 млн. отсчетов в се­ Если выходное напряжение ЦАП не пре­
кунду в 28-выводном корпусе, цена кото­ вышает напряжения входного сигнала, то
рого составляет 100 долл.; фирма IDT вы­ этот разряд остается в состоянии «1»,
пускает преобразователь 75С48, КМ ОП- в противном случае он возвращается в «0».
650 Глава 9

Цифровой
ВЫХОД
(8 -р а з р .)

Рис. 9.51. АЦП с последовательной аппроксимацией.

Для «-разрядного АЦП потребуется п та­ модуль с последовательным приближени­


ких шагов. Происходящий процесс мож­ ем имеет вход «Начало преобразования»
но описать как процесс бинарного поис­ и выход «Конец преобразования». Ц иф­
ка, начинаю щ егося с середины . А /Ц - ровой выход всегда выдается в парал-
Сопряжение цифровых и аналоговых сигналов 651

Рис. 9.52. Сигналы при 8 -битовой последовательной аппроксим ации. (С разреш ения П. Эм ери, Р. Ловетта
и К. Рудина.) а - аналоговый выход, сходящ ийся к конечном у значению ; обратите вни м ани е на синхроим ­
пульсы; 6 — полное «дерево».

дельной форме (все разряды сразу по величину происходящего за это время из­
п отдельным выходным линиям), а иногда менения; однако выбросы на входном
и в последовательной форме (п последова­ сигнале катастроф ичны . Н есм отря на
тельных выходных битов, начиная с СЗР, общую вполне допустимую точность, эти
по одной выходной линии). преобразователи могут иметь довольно
В нашем курсе по схемотехнике сту­ необычные нелинейности и «пропущен­
денты конструируют АЦП с последова­ ные коды».
тельным приближением в полном объеме Фирма National Semiconductor в своих
вместе с ЦАП, компаратором и управля­ преобразователях серии ADC0800 для ис­
ющей логикой. ключения пропущенных кодов использу­
На рис. 9.52, а показано восемь импуль­ ет хитроумный прием: вместо многозвен­
сов синхронизации и выходные сигналы ного ЦАП “R —2R” используется цепочка
на ЦАП, следующие друг за другом по из 2" резисторов и аналоговые ключи (рис.
мере того как аналоговый выход, подвер­ 9.53); эта схема генерирует проверочные
гаемый проверке, сходится к входному аналоговые напряжения по типу мгновен­
напряжению. На рис. 9.52 б показано ного шифратора.
полное 8-разрядное «дерево», - прекрас­ Существует вариант АЦП этого типа,
ная картинка, которую вы можете полу­ известный как «следящий АЦП», в кото­
чить, наблюдая за выходом ЦАП, при ром для формирования последовательных
подаче на вход медленно меняющегося проверочных кодов используется ревер­
линейного напряжения по всему диапа­ сивный счетчик; он сравнительно медлен­
зону входного аналогового сигнала. ный, если учитывать скачки входного сиг­
АЦП с последовательным приближени­ нала, но быстрее отслеживает плавные
ем являются сравнительно точны ми и изменения, чем преобразователь с после­
быстрыми и требуют всего п установок на довательным приближением.
ЦАП для обеспечения «-разрядной точ­ Преобразование напряжения в частоту.
ности. Типичное время преобразования В данном методе входное аналоговое на­
колеблется в диапазоне от 1 мкс до 50 мкс пряжение преобразуется в выходную им­
при точности от 8 до 12 разрядов; цена пульсную последовательность, частота
его составляет 10—400 долл. Этот тип которой пропорциональна входному на­
преобразователя работает на коротких пряжению. Это можно осуществить про­
выборках из входного напряжения, и если стым зарядом конденсатора током, про­
его входной сигнал меняется во время порциональным входному уровню, и пос­
преобразования, то ошибка не превышает ледующим его разрядом при достижении
компаратора

Рис. 9.53. ЦАП на основе резисторной


лестничной цепи и дерева коммутаций:
без пропуска кодов.

заранее установленного порога. Для по­ частота (а не цифровой код). Если ско­
вышения точности обычно применяю т рость не играет большой роли, то путем
обратную связь. По одному из способов подсчета выходной частоты за фиксиро­
выход схемы частота - напряжение срав­ ванный интервал времени можно полу­
нивается с входным аналоговым уровнем чить число в цифровом представлении,
и осуществляется генерация импульсов на пропорциональное среднему входному
частоте, которая позволяет выравнять уровню. Этот способ широко использу­
входные уровни компаратора. В более ется в цифровых измерительных приборах
распространенных методах используется средней точности (3 цифры).
так называемый прием «выравнивания Одностадийное интегрирование. В этом
зарядов»; позже мы опиш ем это более способе в начале преобразования запус­
подробно (в частности метод «распреде­ кается внутренний генератор линейного
ления заряда, накопленного на конден­ напряжения и одновременно для подсче­
саторе»). Обычно частоты на выходе схе­ та импульсов стабильного генератора так­
мы напряжение—частота находятся в диа­ товых импульсов счетчик. Когда линейно
пазоне от 10 кГц до 1 МГц (последнее меняющееся напряжение сравнивается с
значение для максимального входного на­ входным уровнем, компаратор останавли­
пряжения). Выпускаются коммерческие вает счетчик; результат на счетчике будет
преобразователи напряжения в частоту с пропорционален входному уровню, т. е.
разрешающей способностью, эквивален­ это и есть цифровой выход. П ринцип
тной 12 разрядам (точность 0,01%). Напри­ работы представлен на рис. 9.54.
мер, превосходный пребразователь AD650 В конце преобразования схема разря­
фирмы Analog Devices (разд. 5.15) имеет жает конденсатор и сбрасывает состояние
типовую нелинейность 0,002% при работе счетчика; преобразователь готов к очеред­
от 0 до 10 кГц. Он не дорогой и очень ному циклу преобразования. Способ одно­
удобен для случаев, когда выходной сиг­ стадийного интегрирования достаточно
нал должен передаваться в цифровом виде прост, но он не используется, если необ­
по кабелю или когда требуется выходная ходима высокая точность, поскольку в этом
Сопряжение цифровых и аналоговых сигналов 653

случае выдвигаются слишком жесткие тре­ конденсатора для отслеживания отноше­


бования к стабильности и точности кон­ ния уровня входного сигнала к эталонно­
денсатора и компаратора. Метод «двух­ му. Во всех этих методах осуществляется
стадийного интегрирования» позволяет усреднение (интегрирование) входного
избавиться от этих проблем; в настоящее сигнала на фиксированном интервале вре­
время его обычно применяют, если тре­ мени, относящемся к одному измерению.
буется высокая точность. При этом есть два важных преимущества:
Одностадийное интегрирование все еще 1. Поскольку в этих методах и для сиг­
живет и благоденствует, особенно в тех нала, и для эталона используется один и
областях, где не требуется абсолютная тот же конденсатор, они не предъявляют
точность, а необходимо преобразование высоких требований к стабильности и точ­
с хорошей разрешающей способностью и ности конденсатора. Требования к ком­
одинаковыми промежутками между смеж­ паратору такж е не слиш ком ж есткие.
ными уровнями. Хорошим примером яв­ В результате для компонентов эквивален­
ляется применение для анализа амплитуд тного качества можно получить более вы­
импульсов (см. разд. 15.16), где ампли­ сокую точность или такую же точность,
туда импульса фиксируется с помощью но за более низкую цену.
пикового детектора и затем преобразует­ 2. Выходной сигнал пропорционален
ся в некоторый адрес. Существенную роль среднему значеню входного напряжения
здесь играет равенство ширины каналов, на (фиксированном) интервале времени
поэтому применение преобразователя с интегрирования. Выбирая этот интервал
последовательным приближением было бы времени равным кратному периода сете­
в общем случае неудобно. Способ одно­ вого напряжения, можно сделать преоб­
стадийного интегрирования используется разователь нечувствительным к фону пе­
также при преобразовании временных ременного тока 60 Гц (и его гармоник)
интервалов в амплитуду. на входном сигнале. Результирующая чув­
ствительность к сигналам помех как фун­
9.21. Методы уравновешивания кция от частоты показана на рис. 9.55 (ин­
заряда тервал интегрирования 0,1 с).
Такое подавление сетевых помех часто­
Существует несколько методов, общей осо­ той 60 Гц требует точного управления
бенностью которых является применение врем енем и н тегри рован и я, п оскольку
654 Глава 9

Асимптота интегриро- пряжение на нем вновь не станет равным


нулю. Время разряда конденсатора будет
пропорционально входному уровню, оно
используется для того, чтобы привести в
действие счетчик, на который подаются
тактовые импульсы фиксированной час­
тоты. Окончательное состояние счетчи­
ка будет пропорционально входному уров­
ню; т. е. это и есть цифровой выход.
С помощью двухстадийного интегриро­
Частота, Гц
вания можно добиться очень хорошей точ­
Рис. 9.55. Подавление помех интегрирующим АЦП. ности, не предъявляя слишком жестких
требований к стабильности компонентов.
ошибка в доли процента тактовой частоты В частности, стабильность емкости кон­
приведет к неполному устранению фона. денсатора может быть невы сокой, по­
Одной из возможностей реализации яв­ скольку циклы заряда и разряда происхо­
ляется п р им ен ен ие кварц евого р е зо ­ дят со скоростью, обратно пропорцио­
натора. В разд. 9.29 вы познакомитесь с нальной емкости. Более того, ош ибки
изящным методом синхронизации рабо­ дрейфа и смещ ения компаратора ком ­
ты интегрирующего преобразователя с пенсируются благодаря тому, что каждый
частотами, кратными частоте сетевого цикл преобразования начинается и за­
напряжения, для обеспечения полного канчивается на одном и том же напряже­
подавления фона. нии, а в некоторых случаях и с одинако­
Недостатком преобразования с интег­ вым наклоном. В самых точных преоб­
рированием по сравнению с последова­ р а зо в а т е л я х ц и к л у п р е о б р а з о в а н и я
тельным приближением является невы­ предшествует цикл «автокоррекции нуля»,
сокая скорость преобразования. в течение которого на вход преобразова­
Двухстадийное интегрирование. Этот теля подается нулевой сигнал. Посколь­
изящный и очень распространенный спо­ ку на этой фазе используется тот же ин­
соб избавляет вас от большинства про­ тегратор и компаратор, вычитание выход­
блем, связанных с конденсатором и ком­ ного зн ачен ия «ош ибки при нуле» из
паратором и присущих одностадийному результата последующего измерения по­
интегрированию. Принцип преобразова­ зволяет компенсировать ошибки, связан­
ния иллюстрируется рис. 9.56. Сначала в ные с измерениями вблизи нуля. Однако
течение фиксированного интервала вре­ при этом не происходит коррекция ош и­
мени происходит заряд конденсатора то­ бок по всей шкале.
ком, точно пропорциональным входному Заметьте, что в двухстадийном преоб­
уровню; затем конденсатор разряжается разовании не предъявляются жесткие тре­
постоянным током до тех пор, пока на- бования даже к частоте тактовых импуль­
сов, так как фиксированны й интервал
времени на первой фазе измерений ф ор­
мируется из тех же тактовых импульсов,
которые используются для счета в пря­
мом направлении. Если частота тактовых
импульсов уменьшится на 10%, то началь­
ный наклон будет на 10% выше нормаль­
ного, а время спада на 10% вырастет.
Так как измерение осуществляется по так­
товым меткам, а их частота снизилась на
10% по сравнению с нормальной, окон­
чател ьн ое с о с то я н и е сч етч и к а будет
Рис. 9.56. Цикл двухстадийного преобразования. тем же самым! В двухстадийном преоб­
Сопряжение цифровых и аналоговых сигналов 655

разователе с автокоррекцией нуля жест­ ратора: некоторые преобразователи, на­


кие требования к стабильности предъяв­ пример, допускают проверку конца пре­
ляются только к току разряда. Прецизи­ образования путем считывания выходно­
онные эталонные источники тока и на­ го слова; не пользуйтесь этим! Лучше ис­
пряж ения получить довольно просто, пользуйте отдельную соответствующим
причем в этом типе преобразователя мас­ образом изолированную линию ЗАНЯТО.
штабный коэффициент устанавливается Двухстадийное интегрирование находит
регулируемым эталонным током. широкое применение в цифровых универ­
При выборе компонентов для двухста­ сальных измерительных приборах, а так­
дийного преобразования ориентируйтесь же в преобразовательных модулях с раз­
на высококачественный конденсатор с решающей способностью от 10 до 18 раз­
минимальной остаточной поляризацией рядов. Там, где не требуется высокое
диэлектрика (эффект «памяти»; см. мо­ быстродействие, этот способ обеспечива­
дель на рис. 4.42) — полипропиленовые, ет хорошую точность и высокую стабиль­
полиэфирные или тефлоновые конденса­ ность при низкой стоимости и обладает
торы в этом отношении лучше. Хотя эти превосходной помехоустойчивостью к се­
конденсаторы и не являются поляризован­ тевым (и другим) помехам. Используя
ными, их внешнюю фольгу следует под­ модуль на основе двухстадийного интег­
ключить к низкоимпедансной точке (выход рирования, вы получаете наивысшую точ­
операционного усилителя интегратора). Для ность при заданных затратах. При увели­
минимизации ошибок величины R и С вы­ чении входного сигнала коды цифрового
бирайте таким образом, чтобы использо­ выхода возрастают строго монотонно.
вать весь аналоговый диапазон интеграто­ Д ел ьта-си гм а-п р ео б р азо в ател и . С у­
ра. На высокой частоте тактовых импуль­ ществует несколько методов А/Ц-преобра-
сов разрешающая способность улучшается, зования, в основе которых лежит принцип
однако при сильном увеличении частоты нейтрализации входного тока (среднего)
период тактовых импульсов может стать сигнала с помощью переключаемого внут­
короче времени отклика компаратора. реннего источника тока или заряда. На
При и спользовании п рец и зи он н ого рис. 9.57 показана функциональная схема
двухстадийного преобразователя (как, дельта-сигма-преобразователя.
впрочем, и любого прецизионного пре­ Входное напряжение поступает на ин­
образователя) важно исключить цифро­ тегратор, выходной сигнал которого срав­
вые помехи на пути прохождения анало­ нивается с любым фиксированным напря­
говых сигналов. Преобразователи обычно жением, например нулем. В зависимос­
снабжаются для этих целей раздельными ти от выходного сигнала компаратора
выводами «аналоговой земли» и «цифро­ импульсы тока фиксированной длитель­
вой земли». Во многих случаях на цифро­ ности (т. е. с фиксированным прирос­
вых входах полезно поставить буферы (ска­ том заряда) подключаются при каждом
жем, октальный формирователь ’244 с тре­ изменении тактовых импульсов либо к
мя состояниями, работающий только при суммирующему входу, либо к земле, что
считывании выхода) для того, чтобы за­ позволяет поддерживать нулевой средний
щитить преобразователь от цифровых шу­ ток на суммирующем входе. Это — прин­
мов микропроцессорной шины (см. сле­ цип уравновешивания. Счетчик отслежи­
дующую главу). В крайнем случае, для вает число импульсов подключения к сум­
того чтобы «отгородиться» от помех очень мирующему входу в пределах некоторого
«грязной» шины, можно использовать оп­ заданного числа тактовых импульсов, до­
троны. Постарайтесь обеспечить соответ­ пустим, 4096. Полученное число будет
ствующую развязку по питанию на ИС пре­ п роп орц и он ал ьн о среднем у входному
образователе. Постарайтесь не вносить уровню за 4096 тактовы х им пульсов,
помех в конечной критической точке ин­ т. е. это и будет выходным кодом.
тегрирования, где линейное изменение В дельта-сигма-преобразователях мож­
достигает точки переключения ком па­ но использовать также импульсы тока,
656 Глава 9

сформированные с помощью резистора и Примером преобразователя, основанно­


стабильного эталонного напряжения, по­ го на этом методе, является преобразова­
скольку суммирующая точка находится тель напряжения в частоту LM331, харак­
фактически на уровне потенциала земли. терная особенность которого состоит в
В этом случае необходимо, чтобы сопро­ том, что он работает от одного источника
тивление замкнутого ключа было меньше + 5 В. Мы рассказывали о его применении
последовательного резистора и его от­ в качестве генератора, управляемого на­
клонения не вызывали дрейфа. пряжением, в разд. 5.14.
АЦП с коммутируемым конденсатором. Замечания по поводу интегрирующих
С методом выравнивания заряда тесно свя­ АЦП. Как и в А/Ц-преобразователях на
зан метод «с распределением заряда, на­ основе двухстадийного интегрирования,
копленного на конденсаторе» или А /Ц - во всех преобразователях с уравновешива­
преобразования с «коммутируемым кон­ нием заряда происходит усреднение вход­
денсатором». В этом методе с помощью ного сигнала на фиксированных интер­
периодически повторяю щ егося заряда валах времени, поэтому их можно сделать
конденсатора от стабильного эталонного нечувствительными к сетевым помехам на
напряжения создается заряд определенной основной частоте 60 Гц и ее гармониках.
величины, затем происходит разряд на Методы уравновешивания заряда харак­
суммирующую точку. К ак и ранее, к теризуются в основном точностью и низ­
выходу интегратора подключен компара­ кой стоимостью (для их реализации не
тор, который управляет частотой пере­ нужно, например, очень хорошего ком­
ключения конденсатора. Этот метод об­ паратора) и обеспечивают строго моно­
ладает определенными преимуществами тонный выход. Вместе с тем по сравне­
для схем с питанием от одного источ­ нию с последовательным приближением
ника напряжения, поскольку действую­ они довольно медленны. Преобразователь
щую полярность заряда, передаваемого AD1170 обеспечивает разрешающую спо­
от конденсатора к суммирующей точке, собность 18 разрядов при времени преоб­
можно изменить с помощью ключей на разования 66 мс; стоит он около 100 долл.
полевых транзисторах, соединенных со­ Для сравнения 16-разрядный преобразо­
ответствую щ им образом (т. е. путем ватель с последовательным приближени­
коммутации обеих обкладок конденса­ ем AD76 имеет время преобразования
тора). 15 мкс и стоит 120 долл. В отличие от
Сопряжение цифровых и аналоговых сигналов 657

сдвига

двухстадийного интегрирования в методах наряжению сдвига по входу. Поэтому то­


дельта-сигма-преобразования и с комму­ ковый вход удобен для получения ш иро­
тируемым конденсатором используются кого динамического диапазона, особен­
компараторы низкой точности, подклю­ но если АЦП используется вместе с уст­
чаемые к интеграторам, однако эти мето­ р о й с т в о м , и м ею щ и м к а к о й -н и б у д ь
ды требуют точных схем коммутации за­ токовый выход, например фотоумножите­
рядов. В то же время двухстадийные ме­ ли и фотодиоды. Остерегайтесь таких об­
тоды используют компараторы с высокой разчиков «Попался!», как: точность АЦП
воспроизводимостью характеристик, но не может быть задана для токового входа, хотя
предъявляют высоких требований к клю­ преобразователь имеет и токовый вход и
чам, по крайней мере в отношении ско­ вход по напряжению; не рассчитывайте на
рости и инж екции заряда. Продолжая хорошие параметры при малых сигналах,
наше сравнение реальных приборов, от­ когда вы используете такой преобразова­
метим, что многостадийный 22-разрядный тель по входу напряжения.
преобразователь ADI 175К обладает време­ Следует отметить, что все методы урав­
нем преобразования 50 мс и стоит 800 новешивания заряда включают в себя точ­
долл (разд. 9.22). ный преобразователь напряжения в час­
Одной из интересных особенностей лю­ тоту и могут использоваться в качестве
бого способа интегрирования (одно- и таковых, если требуется частотный выход
двухстадийное интегрирование и уравно­ (рис. 9.58).
вешивание заряда), о которой следует пом­
нить, является то, что интегратор может 9.22. Некоторые необычные АЦП и ЦАП
иметь вход как по току, так и по напряже­
нию с последовательно включенным рези­ Стоит кратко упомянуть о четырех пос­
стором. Действительно, некоторые преоб­ ледних разработках фирмы Analog Devices,
разователи имеют два входных вывода, признанного лидера в области преобра­
один - непосредственно подключенный к зовательных И С и модулей.
суммирующей точке для связи с устрой­ Комбинированный ЦАП/АЦП AD7569.
ством, представляющим собой источник В монолитной ИС AD7569 на одном кри­
тока. При использовании токового входа сталле объединены 8-разрядные АЦП и
напряжение сдвига интегратора становится ЦАП со схемой выборки и хранения, внут­
несущественным, в то время как вход ренним тактовым генератором и источ­
по напряжению (с внутренним последова­ ником опорного напряжения (рис. 9.59).
тельным резистором) операционного уси­ АЦП с последовательным приближением
лителя интегратора дает ошибку, равную осуществляет преобразование за 2 мкс,
658 Глава 9

мы Analog Devices.)

а ЦАП формирует выходное напряжение выполняет 2 преобразования в секунду.


с типовым временем установки 1 мкс. Эта Для сравнения: AD1175 занимает объем
ИС предназначена в основном для мик­ 10 куб. дюймов, потребляет 3 Вт и стоит
ропроцессорных систем: преобразователи 800 долл. Он содержит внутренний мик­
совместно используют один 8-разрядный ропроцессор и допускает грубую установ­
цифровой порт с подходящими управля­ ку усиления и сдвига по своей цифровой
ющими сигналами и быстрым тактирова­ шине (которая используется и для ввода
нием (в отличие от большинства медлен­ команд и для вывода преобразованных
ных И С преобразователей, которые требу­ данных).
ют дополнительных состояний «ожидания» Преобразователи для видеосигналов
и имеют не совсем подходящее время ус­ HDG0807 и AD9502. Эти преобразовате­
тановки), а сам кристалл работает только ли - это как раз то, что нужно для циф ­
от одного источника питания + 5 В. Бо­ ровых видеосигналов (рис. 9.61 и рис.
лее того, не требуется внешних компонен­ 9.62). Преобразователь HDG0807 пред­
тов и подстройки; схема размешена в удоб­ ставляет собой 8-разрядный ЦАП с уров­
ном 24-выводном корпусе типа «узкий нями стандартных видеосигналов и с вы­
DIP», имеет небольш ое п отреблен ие ходным импедансом 75 Ом. Более того,
(60 Вт) и подходящую цену (6 долл. в он даже формирует правильные «компо­
партии по 100 шт.). зитные синхроимпульсы», совмещаемые
с аналоговым видеосигналом для образо­
22-разрядный интегрирующий АЦПАВ вания полного выходного видеосигнала.
1175. В этом впечатляющем модуле (рис. Преобразователь полностью готов к при­
9.60) используется многостадийное ин­ менению, обладает высоким быстродей­
тегрирование с автокоррекцией нуля для ствием (до 50 МГц) и доступен. М оно­
того, чтобы получить точность 22 разряда литной основой этого гибридного преоб­
(6 и j цифр) при необычной скорости р азо в а т е л я я в л я е т с я схем а A D 9700;
работает она на частотах до 100 МГц.
преобразования (20 преобразований в се­ АЦП для видеосигналов AD9502 выпол­
кунду). Чтобы получить представление о няет обратную работу, а именно осуще­
том, что это значит, рассмотрите другой ва­ ствляет дискретизацию входного видеосиг­
риант — настольный (или стоечный) изме­ нала. Он выделяет из аналогового ком­
рительный прибор, стоимость которого, как п о зи тн о го си гн ал а си н хрои м п ул ьсы ,
правило, достигает 4000 долл. и который использует контур ФАПЧ для формиро-
Сопряжение цифровых и аналоговых сигналов 659

M C O N Y CMD
Энергонезав.
память П р RESET
0 60 Гц -Гъо Гц
Синхр. 8,64МГц Н Q Не подлюч.
т + 5 в
Внутр. источн.
Um и буферы @ DIG GND
DIG GND

Заказной
КМОП-
кристалл
контроллера
и буфер
ввода/
вывода

Рис. 9.60. 22-разрядный ин­


тегрирующий АЦП AD1175K.
15) DATA RDY (С разрешения фирмы Analog
Devices.)

- 5 (все резисторы за исключ.


указан н ы х 2 кОм)

BIT1
(MSB)

BIT2
BIT3
ВП4
BIT5

BIT6
< f8 )D /A OUT PUT
BIT7
BIT8
(LSB)
4)ANAL0G GROUND
STROBE
COMPOSITE SYNC
10% BRIGHT 3 } GLITCH ANJUST

COMPOSITE BLANKING rtC U R R T N T SE T

REFERENCE WRITE 0 - ОТ SETUP

U G IT A t GROUND ф - Рис. 9.61. ЦАП композитного видео­


сигнала HDG0807. (С разрешения
фирмы Analog Devices.)

вания синхроимпульсов элементов изоб­ чину. Он может выполнять преобразо­


ражения, синхронизированных с разверт­ вание на частоте до 13 МГц, генерируя
кой, и затем преобразует аналоговое на­ выходы в цифровом виде с экранным раз­
пряжение в 8-разрядную цифровую вели­ решением 512 х 512.
Таблица 9.5. Аналого-цифровые преобразователи

Тип Корпус®* Напряже- Ток пита­ Диапазон вход­


ние пита- ния тип., ного напряже­
ния, В мА ния, В

§• со
с ^
О +
HS9582 HS 6 M 0,07 18 +5 30 Внешн. 1-5 + 0- t / „
TDC1047 TR 7 M 0,05 24 +5; -5 ,2 +20; -1 4 0 Внешн.—1 - О- t /
ADC0844 NS 8 ПП 40 20 +5 1 Внешн. 1-5 + 0- и о п ;’ I V оп

ADC0831 NS 8 ПП 32 8 +5 1 Внешн. 1-5 + 0 2 ит

TLC548 TI 8 ПП 22 8 +5 1,9 Внешн. + 0 -5

AD670 AD 8 ПП 10 20 +5 30 Внутр. - 0,25; 2,5


AD7575 AD 8 ПП 5 18 +5 3 Внешн. 1,2 - 0 - 2 Um
ADC0820 NS 8 ПМ 2,5 20 +5 8 Внешн. 1-5 + 0-£ /„ ; 2 U
AD7820 AD 8 ПМ 1,6 20 +5 8 Внешн. 1-5 + 0 - и ОП

HS9583 HS 8 M 0,2 24 +5 20 Внешн. + 5В + 0 -5


AD90O2 AD 8 M 0,007 28 - 5 ,2 150 Внешн. — от - 2 до 0

AD770 AD 8 M 0,005 40 +5; -5 ,2 270, 125 Внешн. - от - 1 до 1

CXAU76K, SO 8 M 0,003 68 й' -5 ,2 300 Внешн. - от - 2 до 0


TDCI049 TR 9 M 0,03 64 -5 ,2 950 Внешн.—2 — от - 2 до 0
HADC77600 НО 10 M 0,02 72» +5; -5 ,2 +440, -3 8 0 Внешн. ±2 - ±0,5; ±2

ADC1001 NS 10 ПП 200 20 +5 1,5 Внешн. 1-5 + о -Ц » : w «,


AD573 AD 10 ПП 20 20 +5; -1 2 15, - 9 Внутр. - 0 - 10; о т - 5 до 5

AD7578 AD 12 ПП 100 24У +5; ±12 0,1; +3 Внешн. +5В + 0 -5


AD574A AD 12 ПП 25 28 +5; +12 30, + 2 ,- 1 8 Внутр. 10,0 - 0 -1 0 ; ±5; +10
ADC80 BB 12 ПП 25 32 +5; ±12 11, +5, -2 1 Внутр. 6,3 0 -5 ; 0 -1 0 ; ±5;
±10
AD7572 AD 12 ПП 5 24У + 5 ,-1 5 5 ,-1 0 Внутр.—5,2 - 0 -5

AD7672 AD 12 ПП 3 24У + 5 ,-1 2 7 ,-1 2 В неш н.-5 - 0 -5 ; 0 -1 0 ; +5


AD578 AD + -2 2 ±10
ADC511 DA 12 ПМ 1 24 +5, ±15 65, ±25 Внутр. — 0 -1 0 ; ±5

AD9003 AD 12 ПМ 1 40 +5, +15 2,5 Вт Внутр. - 0 -5

ТНС1201 TR 12 ПМ? 0,1 46 ±15 160 Внутр. - от - 1 до 1

CAV1220 AD 12 ПМ 0,05 печ. пл. ±5, +15 20 Вт Внутр. — ±1


TLC1205B TI 13 ПП 10 24 ±5 3 Внешн. + ±5
ICL7115 IL 14 ПП 40 40 ±5 ±2 Внешн. +5 В + 0 -5
ADC71 AD 16 ПП 50 32Г +5, ±15 70, ±20 Внутр. 6,3 В 0 -5 ; 0 -1 0 ; ±5;
16 ПП 15 32 +5, ±12 10, +14, Внутр. - 0 -5 ; 0± 10; ±5;
ADC76 BB+ -1 7 + 10
СХ20018 SO 16 дс 9 28 ±5 10 , 100 Внешн. ±10
ADAM-826-3 AN 16 ПП 1,5 печ. пл. + 5 ,-6 , 3 Вт Внутр. — 0 - 10 ; ± 1 0
+ 15
MN5420 MN 20 3' ПП 3 40Г +5, +15 +400, ±150 Внутр. - ±5

31 См. сноску к табл. 4.1. 61 Д С —двухстадийный; М — мгновенный; ПМ - полумгновенный; П П - с последова­


тельным приближением. в) Все корпуса D IP, за исклю чением помеченных; Г—гибридный; У—узкий DIP.
г) А К -с автокоррекцией нуля; Н Т-подстройка не требуется. л) 20 кОм с использованием внутреннего усилителя.
03

Стоимость (пластмассо­
Вход

g
Дифференциальный?

Последовательный?
гш В/3?

вый корпус), долл.


Число разрядов
Подстройка? "

3-е состояние?
Тактовый Примечания
генератор

ОО - _ нт 6 + Внешн. 15 Быстрый
100 кОм - - нт 7 + - - 40 Выход с запоминанием
00 — — АК 8 + Внутр. 3,85 4-входовый мультиплексор; прост в при­
“ менении; + 5 В
со + АК + Внешн. 2,70 Небольшой; дополнительная логика не
требуется
I мА + АК + Внутр. Прост в применении, в корпусе мини-
“ DIP
00 + - НТ - + - Внутр. 6 Приборный вход с усилением
00 - + НТ - + - Внешн. RC 5,50 Быстрый
О0 - + нт - + - Внутр. Выход с запоминанием; с переполнением
оо + нт + Внутр. 10 Быстрый; версии на 4 и 8 каналов;
с переполнением
100 кОм — - нт ~ + _ Внешн. 44 Быстрый
20 кОм нт 90 Быстрый, маломощный мгновенного ти­
“ " па, без запоминания и переполнения
3,3 кОм нт 8 175 Быстрый без запоминания и переполне­
ния
нт Чемпион мира по быстродействию
16 кОм — - + 10 — — — 9-разрядный мгновенного типа
1 кОм» — — + 10 — М гновенного типа с самым высоким раз­
" " решением
00 + АК 8 + 2 + Внешн. RC 5-вольтовый, минимум дополнительной
логики
5 кОм — — нт 8 + 2 + Внутр. 14 Быстрый, дополнительная логика не тре­
“ буется
00 — — АК 8 + — Внешн. RC 20 Маломощный, дешевый
5 кОм - - + 8 + 4 + - Внутр. 28 Выход f/Dn; классический
5 кОм + 12 + Внутр. 33 Выход ( / п, классический, выход такт,
“ “ генератора
2,5 кОм нт 8+ 4 + Внутр. 46 Быстрый, дополнительная логика не тре­
буется, выход такт, генератора
5 кОм — — - 8 + 4 + — Внешн. RC 75 Быстрый
5 кОм — — нт 12 — + Внутр. 100 Быстрый, доп. логика не требуется, вы­
ход Ulm, выход такт. ген.
2,5 кОм — нт 12 + Внутр. 99'» Отсутствуют коды пропуска по темпе­
" ратуре
1 кОм - + нт 12 — Внутр. ■250
- + нт 12 + - - - Очень быстрый, прост в использовании
1 кОм - + нт 12 - - Внутр. 2500 Самый быстрый
1 мкА + + - 8 + 5 + — Внешн. 30
5 кОм - - АК 8+ 6 + - Внешн. 50 Основание 1,85 плюс внутреннее ПЗУ
5 кОм — — + 16 — + Внутр. 63 Пром. стандарт, вывод такт, ген., вывод
опорн. напр.
2,5 кОм - - + 16 - + Внутр. 100 Пром. стандарт; AD376
10 кОмж| + + - - + Внешн. 18 Цифровая звукогехника, два канала
1,4 кОм — — нт 8 + 8 + — Внутр. Модуль; версии без В/3 и буфера

5 кОм - - - 16 + - Внешн. Плавающая запятая: показатель 4 разр.,


мантисса 12 разр.

е| В партии из 100 шт. ж| Необходим внешний ОУ. 31 С плавающей запятой. "> Безвыводной кристаллодер-
жатель. к| С матричным расположением штырьковых выводов.
662 Глава 9

COMPARATOR
ENABLE

Рис. 9.62. АЦП компо­


зитного видеосигнала
типа AD9502. (С разре­
шения фирмы Analog
Devices.)
adj

9.23. Выбор АЦП борка с запоминанием? Инвертированная


полярность, т. е. более отрицательный
Как и в случае ЦАП, мы сгруппировали сигнал для большего выхода?); и) выход­
АЦП (табл. 9.5 и табл. 9.6) так, чтобы ная схема (параллельный, последователь­
охватить весь диапазон технических ха­ ный, либо и то, и другое? Является ли
рактеристик и стоимости. Мы старались параллельный выход совместимым с мик­
включить как наиболее распространенные ропроцессором как часть отдельно акти­
блоки, так и те, которые появились недав­ визируем ы х байтовы х групп?) и, к о ­
но и выйдут победителями в следующем нечно, к) стоимость.
году. Полные А/Ц-подсистемы. Если вам нуж­
При выборе АЦП следует учитывать ряд ны АЦП высокой точности, особенно с
факторов: а) точность; б) быстродействие; входным мультиплексором и выборкой с
в) точность установки (требуется ли под­ запоминанием, вы должны внимательно
стройка, гарантируется ли м о н о то н ­ присмотреться к «А/Ц-подсистемам», ко­
ность); г) необходимые питающие напря­ торые предлагают ряд изготовителей. Они
жения (некоторые работают только от представляют собой обычно модули (а не
+ 5 В) и мощность рассеивания; д) не­ ИС), выполненные в виде металлической
большой корпус; е) источник опорного коробки высотой 0,4 дюйма с размерами
напряжения и генератор тактовых импуль­ 2 x 4 дюйма (или 3 x 5 ) ; выводы модуля
сов (внутренний или внешний? Если вне­ сопрягаются с помощью специальной ко­
шний опорный источник, то подойдет ли лодки (или запаиваются непосредственно
+ 5 В? Если внутренний, то доступен ли в печатную плату). Эти преобразователи
он снаружи, например для логометричес­ не дешевы, но они исключительно про­
ких измерений? Хорошо ли это? Можно сты в использовании. Более того, изго­
ли его нагрузить?); ж) входной импеданс товители решили ряд действительно слож­
и диапазон аналогового напряжения (одно­ ных проблем, которые не позволяли осу­
полярный, двухполярный или и то, и дру­ щ ествить п р ео б р азо в ан и е с вы сокой
гое?); з) входная схема (дифференциаль­ разрешающей способностью - наводки,
ный? Внутренний мультиплексор или в ы - изоляция цифровых и аналоговых узлов
З
Я
Е
а
С

«С
1
О
£
£
,»^41DOWH1O9W0O3
МЭИНИОХЭОЭ Э + + + + + + + + + +
ГЧ . SO so
SO sOso so oooo + s + +
И1ЧНЯ1/Э1ЛГ^БЦ + + + + + + oo 5 OO ОС
00oo oo o o o o 00 + ё + +
oo oo 00
иршчтгипнэйэффи'р 1 + + 1 + + 1 1 1 +
^БМИ0С1ХЭ170Ц 1 1 1 1 1 :=
>0 =5° 1 1 1

5 2
о О
S

5
Сэв
Ч ;- r=s5°^ °
^ з о + СЧ
2 I §
с зI
K g60su
Таблица 9.6. Интегрирующие аналогоцифровые преобразователи

<,д§ эинджвс!ивн dOHdouo

* 5
— X X
gfe £
X X X
H CO CQ CQ CQ CQ

iri +1
+1 .

<
a oXudo>|

aith
/VmsdaljMHBaocedgoddu
2 £>
"T O
о о

,9 H H H B a o c e d g o d d u н о х э о д О V и и и и и Гр го и
ЕГ У n т ч ь =3 У
aoFHdeBd о ех ээь ш го )! fN гч гя ^2 <П «П V) so оо гч
гм

ЭДОИ oaioahHL'o» - - -------------- CN — -

<вч1гэхиао!ол£и Q сл _j Осли
<H == <HH

ООО 2 о *
m
»n
V) О
oo m о «о
wo oo oo О ^£ r-~
£ U -J tu u r- N
О c/3
<H U И СЛ V5
<hh
— сл 5
И 5<
U U <
664 Глава 9

Рис. 9.63. Модульная АЦП-система DT5716.

стабильное опорное напряжение, сдвиги дули серии D A S1157-9 ф ирм ы Analog


усилителя и т.п. Devices представляют собой одноканаль­
Типичным представителем этих устройств ные преобразователи с разрешающей спо­
является DT-5716 фирмы Data Translation собностью от 14 до 16 разрядов и пропуск­
(рис. 9.63). Этот модуль имеет 16 несим­ ной сп о со б н о стью , соответствую щ ей
метричных (или 8 дифференциальных) 18 кГц; стоят они меньше 300 долл. в
входов с аналоговым мультиплексором на партии из 100 шт. Вы можете приобрес­
входе, за которым следуют схема выбор­ ти преобразовательные модули несколь­
ки и запоминания, усилитель с регулиру­ ких ф и р м , вкл ю чая A nalog D evices,
емым коэффициентом усиления и 16-раз- Analogic, Data Translation и Intech.
рядный АЦП. Он может осуществлять
преобразование на частоте 20 кГц и име­ ПРИМЕРЫ А/Ц-ПРЕОБРАЗОВАНИЯ
ет двухбайтовую организацию выхода,
которая упрощает сопряжение с микро­ 9.24. 16-канальная А/Ц-система
процессорной шиной (см. гл. 10 и 11). сбора данных
Модули А/Ц-подсистем выпускаются с
разрашающей способностью от 12 до 16 На рис. 9.64 показана схема, предназ­
разрядов как с входным мультиплексором, наченная для преобразования в цифровую
так и без него. Вы действительно платите форму любой из 16 аналоговых входных
за высокую точность и высокое быстро­ величин с 12-разрядным кодом на выхо­
действие и больш инство выпускаемых де. С ее помощью можно организовать «пе­
модулей существенно дешевле, чем упо­ редний край» в эксперименте сбора дан­
мянутые ранее блоки. К примеру, мо­ ных под управлением микропроцессора.
Сопряжение цифровых и аналоговых сигналов 665

Ю
О
8.

C
R
S
X
щ
S
S5
а
с

ч
8
с
С
<

s I *
J я) S
Р
О *еS
666 Глава 9

ИС HI-506 представляет собой 16-ка­ Преобразование завершается через 12 мкс


нальный аналоговый М ОП-мультиплек- и сигнал ЗАНЯТ устанавливается на вы­
сор с цифровыми входами, совместимы­ соком уровне. С этого момента доступны
ми с М ОП -логикой. Этот своеобразный все 12 разрядов результата, если вы хоти­
мультиплексор имеет несколько очень те использовать все 12 линий D Bbix; однако
приятны х свой ств. В ч астн ости , его если у вас 8-разрядная шина, то вы може­
ключи являются разновидностью клю ­ те первыми считать 8 младших значащих
чей с «размыканием перед срабатывани­ разрядов, а затем подать сигнал ВЫБОР
ем». Это означает, что при смене адре­ СТАРШ ЕГО БАЙТА, для того чтобы пе­
са на мультиплексоре различные вход­ редать на Do — Dj 4 старших значащих
ные к ан ал ы не за м ы к а ю т с я д руг с разряда.
другом. Более того, входные сигналы После инициирования преобразования
могут уходить за пределы напряжения устройство, управляющее преобразовате­
питания и при этом не будет возникать лем, может заняться проверкой сигнала
эф ф ект «тиристорного защ елкивания» занят, чтобы увидеть, когда преобразо­
или перекрестные помехи между входа­ вание завершится. Более простой вари­
ми. Учтите эти соображения, когда бу­ ант - это ждать 12 мкс («временной цикл»
дете подыскивать себе линейны е ключи. программы потратит требуемое время;
Они иногда могут породить проблемы. см. гл. 11). Управляющее устройство вы ­
Например, «размыкание перед срабаты ­ нуждено ждать 4 мкс после заверш ения
ванием» снижает время переклю чения, п р ео б р азо в ан и я, перед тем к ак и н и ­
поскольку «срабатывание» необходимо циировать следующее преобразование;
задержать для того, чтобы дать возмож­ э т о — « в р ем я за х в а т а » И С L F 3 9 8 ,
ность ключу разомкнуться. т. е. время, необходимое для того, что­
Единственный аналоговый выход муль­ бы выход вновь отследил вход с точнос­
типлексора поступает на схему LF398- тью до 0,1%. В течение этого времени
монолитный усилитель с выборкой и запо­ устройство управления может, конечно,
минанием (рис. 4.41) в удобном 8-вы - заняться считыванием цифрового выхо­
водном корпусе D IP (цена 2 долл.). Эта да. Общее время преобразования состав­
ИС используется как схема «слежения и ляет, таким образом, минимум 16 мкс,
запоминания», фиксирующая аналоговое что равнозначно 60 000 преобразованиям
колебание только с началом преобразо­ в секунду.
вания. С использованием конденсатора По поводу этой схемы стоит сделать не­
1000 пФ выход схемы устанавливается до сколько замечаний: (а) Для получения
1 МЗР за 0,5 мкс и снижается менее чем полной 12-разрядной точности вы долж­
на 3 мкВ за последующие 12 мкс преоб­ ны обеспечить подстройку сдвига для
разования. AD7572 — это превосходный компенсации трех видов ошибок: 1) Ucm
маломощный 12-разрядный АЦП с внут­ входа В/3 составляет 7 мВ (макс); 2) по­
ренними источником опорного напряже­ левой транзистор входа В/3 вводит неболь­
ния и тактовым генератором; он имеет шую ступеньку напряж ения в режиме
удобные управляющие сигналы для сопря­ ЗА П О М И Н А Н И Е, обусловленную ин-
жения с микропроцессором, включая воз­ жекцией заряда в затвор полевого тран­
можность мультиплексирования 12-раз- зистора (разд. 3.12), в данном случае
рядного результата на 8 линий («байтовая относительно стабильную отрицательную
шина данных») за два последовательных ступеньку в 10 мВ; 3) сам АЦП имеет и сдв,
цикла. определяемое как 4 М ЗР (эквивалентно
Устройство, управляющее этой схемой, 5 мВ для диапазона входного сигнала
обычно назначает адрес для мультиплек­ 0-5 В). Мы включили схему подстройки
сора, затем инициирует преобразование для LF398, используя рекомендации из­
с помощью сигналов ВК и ЧТ. АЦП от­ готовителя. (б) Емкость конденсатора за­
вечает выдачей сигнала ЗАНЯТ, который поминания определяется путем компромис­
фиксирует аналоговый входной сигнал. са. Небольшая емкость сокращает время
Сопряжение цифровых и аналоговых сигналов 667

захвата, но приводит к большему спаду


вершины импульса и большей ступеньке 9.25. 3 2 -знаковый цифровой
от инжекции заряда. Мы выбрали емкость,
вольтметр
которая дает незначительный спад и при­
водит к ступ ен ьке в реж им е ЗА П О ­
На рис. 9.65 представлена схема, в кото­
МИНАНИЕ, эквивалентной 8 МЗР; сту­
рой использованы преимущества двух­
пенька сравнительно стабильна и ее мож­
стадийного интегрирования. Почти вся
но ком пенсировать соответствую щ ей
схема цифрового вольтметра, за исклю­
подстройкой с п ом ощ ью регулятора чением внешних компонентов для интег­
«Подстройка сдвига», (в) Схема приспо­ ратора и генератора тактовых импульсов,
соблена под однополярные входные сиг­
точного источника опорного напряжения
налы (0-5 В); если необходимо принимать
и устройства отображения, выполнена на
биполярные входные сигналы, то следует
однокристальной К М О П БИ С . Схема
добавить схему смещения операционного ICL7107 при работе использует цикл ав­
усилителя, позаботясь о том, чтобы удер­
томатического обнуления и даже, более
жать ошибки в пределах менее 1 М ЗР
того, формирует все 7-сегментные муль­
(1 часть на 4000). Для того чтобы облег­
типлексируемые выходные сигналы для
чить работу, схема AD7572 выдает пре­
непосредственного запуска 4-цифрового
цизионное опорное напряжение, тем не дисплея на светодиодах. Используя на
менее потребуется еще несколько ком­
входе внешний аттенюатор (или эталон­
понентов. (г) Прекрасным дополнени­
ный источник), вы можете формировать
ем к такого сорта схемам является уси­
другие полномасштабные диапазоны на­
литель с программируемым коэф ф ици­
пряжений. Метод двухстадийного преоб­
ентом усиления, так что управляющий разования очень удобен для работы циф ­
микропроцессор может управлять коэф ­
рового вольтметра: он обеспечивает хоро­
фициентом усиления для того, чтобы шую точность (включая автокоррекцию
приспособиться к диапазону амплитуд
нуля) и подавление сетевых помех в при­
входного сигнала. ИС AD526 фирмы борах с усреднением при низкой стоимо­
Analog Devices представляет собой одно­
сти. Стоимость используемого здесь пре­
кристальный прибор с программируемым
образователя не превышает 20 долл.
коэффициентом усиления 1, 2, 4, 8 и 16
и точностью усиления 0,02% (точность 12
9.26. Кулонометр
разрядов); альтернативны й вариант -
ИС L F 13006/7 фирмы National содержит Схема, показанная на рис. 9.66, пред­
резисторы и ключи на полевых транзис­ ставляет собой токовый интегратор с урав­
торах (но не сам усилитель) для установ­ новешиванием заряда, или «кулонометр».
ки коэффициента усиления от 1 до 128 Этот прибор можно использовать для из­
(с коэффициентами 2) или от 1 до 100 мерения интегрального тока (полного
(в последовательности 1-2-5); эти ком­ заряда) за определенный интервал време­
поненты имеют точность коэффициента ни; он может найти применение в области
усиления 0,5% (точность 8 разрядов). электрохимии или для электрофореза.
В этой схеме, естественно, использу­ Начнем с нижнего левого угла, где интег­
ется АЦП с последовательным прибли­ рируемый ток протекает через мощный
жением, поскольку при переключении от прецизионный 4-проводный резистор, об­
одного входа к другому важную роль иг­ разуя п ропорциональное напряж ение.
рает быстродействие. Мы выбирали ком­ ИМС2 является сравнительно недорогим
поненты, стараясь минимизировать сто­ (менее 5 долл.) прецизионным операцион­
имость. Показанная схема будет стоить ным усилителем с одним источником пи­
около 50 долл. по ценам на сегодня; ос­ тания, с низким начальным сдвигом на­
новной вклад в стоимость вносит преоб­ пряжения (80 мкВ макс.) и малым дрей­
разователь - 35 долл. фом сдвига во времени и по температуре
(менее 2 мкВ на градус и 0,5 мкВ в месяц).
668
Глава 9

Рис. 9.65. Однокристальный «3 1/2-знаковый» цифровой вольтметр с двухстадийным интефированием. В - высокий; Н - низкий.
Сопряжение цифровых и аналоговых сигналов 669

Он формирует выходной ток, программи­ для сопряжения высокоуровневых сигна­


руемый измеряемым током и запускает лов КМ ОП-логики с уровнями счетчика
зарядоуравновешивающий интегратор на использована схема 4049. ИМС4 работает
ИМСЗ. С помощью поворотного пере­ от одного источника питания и ее выход­
ключателя на входе выбирается один из ной сигнал изменяется от нуля до +15 В,
пяти декадных пределов чувствительности, что упрощает подклю чение к ИМ С5а.
причем на лю бом д и ап азо н е п о л н о ­ Для того чтобы обеспечить достаточный
му входному сигналу соответствует ток диапазон работы транзистора 7j, опорное
200 мкА в коллекторе Tv Транзистор напряжение для интегратора и компара­
Т{ — это полевой МОП-транзистор (а не тора устанавливается с помощью стабилит­
биполярный плоскостной транзистор), рона D2 на уровне +4,7 В; здесь подойдет
используемый для исключения ошибки самый простой стабилитрон, поскольку
управляющего тока. точность не требуется. Обратите внимание
Схема уравновешивания заряда являет­ на то, что прецизионное опорное напря­
ся обычной дельта-сигма-схемой с поле­ жение зависит от напряжения +4,7 В, ис­
вым ^-канальным транзистором Т2, рабо­ пользованного для масштабирования тока,
тающим в режиме обогащения, который коммутируемого в интеграторе. Рабочий
выдает порции заряда в соответствии с ток источника REF-02 используется заод­
состоянием триггера ИМ С5а после каж­ но и для смешения стабилитрона.
дого такта синхронизации. ИМС56 рабо­ Ключ ( Т2) может оказать существенное
тает как одновибратор, увеличивая состо­ влияние на общую точность прибора.
яние двоичной пересчетной схемы ИМС7 Если он обладает слишком большой ем­
на каждом такте, в течение которого Т2 костью, то дополнительный заряд на его
находится в проводящем состоянии. Эта стоке приведет к погрешности. Схемное
схема не подсчитывает какое-то опреде­ решение, использованное в предыдущем
ленное число тактов синхронизации, а примере (коммутация на землю во время
просто накапливает до тех пор, пока не циклов отклонения тока), в данном слу­
остановится. 4-разрядные счетчики ИМС9 чае не подойдет, поскольку ошибки на­
и ИМС10 отслеживают общий заряд и пряжения сдвига ИМСЗ приведут к по­
управляют 8-разрядным дисплеем на све­ стоянной ошибке при очень малых токах.
тодиодах. Используя однополю сный однонаправ­
Если измеряемый ток превышает мак­ ленный переключатель, показанный на
симальный ток выбранного диапазона, то схеме, можно увеличить динамический
ток Т2 не способен уравновесить ток Tv диапазон за счет некоторого снижения
даже если транзистор будет постоянно точности (что вызвано избыточным заря­
включен; при этом зафиксированное на дом на стоке транзистора Т2, который
счетчиках значение заряда будет содержать интегрируется на каждом такте). Выбран­
ошибку. ИМС4а проверяет условие вы­ ный операционный усилитель интеграто­
хода за пределы диапазона и зажигает све­ ра представляет собой усилитель на поле­
тодиод, если выходной сигнал интег­ вых МОП-транзисторах с малыми токами
ратора превышает фиксированный уровень смешения и поэтому пренебрежимо малой
опорного напряжения (который выби­ погрешностью по току (10 пА тип.). По­
рается с запасом по отношению к нор­ скольку операционные усилители на по­
мальным условиям работы интегратора). левых транзисторах имеют, как правило,
Некоторые подсчеты при проектирова­ большие напряжения сдвига, чем усили­
нии. При проектировании схемы типа этой тели на биполярных транзисторах, такой
следует принять несколько решений. На­ выбор усилителя только обострит только
пример, большинство элементов КМ ОП- что рассмотренную проблему динамичес­
логики работают от напряжения +15 В, кого диапазона при использовании одно­
для того чтобы упростить коммутацию полюсного ключа на два направления.
транзистора Тг. Поскольку 4-разрядные Динамический диапазон. Важно понимать,
счетчики работают от напряжения + 5 В, что этот приоор спроектирован в расчете
670 Глава 9

Оз
+15 1N5230

макс. один полная шкала


ток квант (10* квантов) дрейф
20А 0,1 Кл 2780 А •ч 10'3А
2А 0,01 Кл 278 А-ч 10ч А
200 мА 0,001 Кл 28 А •ч 10-5А
20 мА 100 мкКл 10"6А
2 мА ЮмкКл 10-7А
3600 кЛ

5-позиционны и поворотный .
переключатель на 3 направления

Рис. 9.66. Кулонометр (счетчик накопленного заряда). К. Т. — контрольная точка интегратора; Пр — предохра­
нитель; НК — неподключенный контакт; МЗЦ — младшая значащая цифра; СЗЦ — старшая значащая цифра.

на большой динамический диапазон с затрудняет точную подстройку сдвига на


точным интегрированием тока, изменя­ нуле). При подстройке ИМ С2 на сдвиг
ющегося в процессе эксперимента на не­ 10 мВ или менее динамический диапа­
сколько порядков по величине. Именно зон прибора будет превышать 10000 : 1.
по этой причине большое внимание уде­
ляется схеме «переднего края» на преци­
зионном операционном усилителе с цепью
подстройки сдвига, обеспечивающей пре­
цизионную регулировку (обычная схема
подстройки имеет, как правило, полный
диапазон в несколько милливольт, что
Сопряжение цифровых и аналоговых сигналов 671

Пр. 1/8 А
-ОХр—сг*о—[Г}

Рис. 9.66. П родолж ение.

СХЕМЫ ФАЗОВОЙ АВТОПОДСТРОИКИ


ЧАСТОТЫ дальнейшем применение ФАПЧ для то­
нального декодирования, демодуляции
9.27. Введение AM- и ЧМ -сигналов, умножения частот,
частотного синтеза, импульсной синхро­
Система фазовой автоподстройки частоты низации сигналов от шумящих источни­
(ФАПЧ) —это весьма важный и полезный ков (например, магнитной ленты) и вос­
узел, выпускаемый в виде отдельной ин­ становления «чистых» сигналов.
тегральной схемы многими изготови­ Существует традиционное предубежде­
телями. ФАПЧ содержит фазовый детек­ ние против ФАПЧ, связанное отчасти со
тор, усилитель и генератор, управляемый сложностью реализации ФАПЧ на диск­
напряжением (ГУН), и представляет собой ретных компонентах, а отчасти с сомне­
сочетание в одном корпусе аналоговой ниями относительно ее надежной работы.
и цифровой техники. Мы рассмотрим в С появлением недорогих и простых в при-
672 Глава 9

ный с последовательностью входных им­


пульсов.
В одном из часто встречающихся при­
менений ФАПЧ между выходом ГУН и
фазовым детектором включают счетчик
по модулю п, обеспечивая, таким обра­
зом, умножение входной эталонной часто­
ты / вх. Это — идеальный метод генерации
импульсов синхронизации на частотах,
кратных частоте сетевого напряжения, для
Рис. 9.67. Схема фазовой автоподстройки частоты. интегрирующих АЦП (двухстадийных и с
уравновешиванием заряда) с полным по­
менении устройств ФАПЧ первое пре­ давлением помех на сетевой частоте и ее
пятствие для их широкого применения гармониках. Подобные схемы являются
было преодолено. При правильном про­ основны ми при построении частотных
ектировании и корректном применении синтезаторов.
устройства ФАПЧ становятся такими же Компоненты ФАПЧ. Фазовый детектор.
надежными элементами схемы, как опе­ Существуют два основных типа фазовых
рационные усилители или триггеры. детекторов, которые иногда назы ваю т
На рис. 9.67 показана классическая схе­ тип 1 и тип 2. Фазовый детектор типа 1
ма ФАПЧ. Фазовый детектор-устройство, предназначен для работы с аналоговыми
которое осущ ествляет сравнение двух сигналами или циф ровы ми сигналами
входных частот и формирует выходной прямоугольной формы, а детектор типа
сигнал, пропорциональный их фазовой 2 —для работы по логическим переходам
разности (если, например, частоты раз­ (ф ронтам ). Т ипичны м представителем
личаются, то на выходе появится перио­ детекторов типа 1 является детектор 565
дический сигнал на разностной частоте). (линейный), а детектор КМ ОП 4096 мож­
Если / вх не равна / гун, то отфильтрован­ но отнести и к тому, и к другому типу.
ный и усиленный сигнал фазовой ош иб­ Самым простым фазовым детектором
ки будет воздействовать на частоту ГУН, является детектор типа 1 (цифровой), ко­
изменяя ее в направлении / вх. При нор­ торый представляет собой простой вен­
мальных условиях ГУН быстро произво­ ти л ь И С К Л Ю Ч А Ю Щ Е Е И Л И (ри с.
дит «захват» частоты / вх, поддерживая по­ 9.68). На рисунке показана зависимость
стоянный фазовый сдвиг по отношению выходного напряжения от разности фаз
к входному сигналу. при использовании фильтра низких час­
Поскольку отфильтрованный выходной тот и прямоугольного входного колебания
сигнал фазового детектора является сиг­ со скважностью 50%. Фазовый детектор
налом постоянного тока, а управляющий типа 1 (линейный) имеет аналогичную
входной сигнал ГУН - мерой входной ча­ зависимость выходного напряж ения от
стоты, совершенно очевидно, что ФАПЧ фазовой разности, хотя его схема пред­
можно применять для ЧМ-детектирования ставляет собой «четырехквадрантный ум­
и тонального декодирования (исполь­ ножитель», известный также под назва­
зуемое при цифровой передаче по те­ нием «балансный смеситель». Фазовые
лефонным линиям). Выходной сигнал детекторы этого типа, обладающие высо­
ГУН - это сигнал местной частоты, рав­ кой линейностью, находят широкое при­
ной / вх, таким образом, ГУН выдает чи­ менение в синхронном детектировании,
стый опорный сигнал, который может которое мы рассмотрим в разд. 15.15.
содержать шумы. Поскольку выходной Фазовый детектор типа 2 обладает чув­
сигнал ГУН может иметь любую форму ствительностью только по отношению к
(треугольную, синусоидальную и т. п.), расположению фронтов сигнала и вход­
это позволяет формировать, допустим, си­ ного сигнала ГУН, как показано на рис.
нусоидальный сигнал, синхронизирован­ 9.69. Схема фазового компаратора гене-
Сопряжение цифровых и аналоговых сигналов 673

Опорный Опорный
сигнал сигнал
Опережение

_п_п
Фазовый
компаратор
Сигнал Отставание к ГУН
ГУН > т Lг1 0,2 1,1’■
©с"ЛЛЛ_Л_
Опорный 4044 (П Л )
сигнал

Отставание
Опорный сигнал
Опорный
сигнал
Сигнал
Фазовый
компаратор
Опережение
ГУН

в Опорный
сигнал

ГУН

Отставание

Опережение
Фаза
Выходное напря- _
жение фазового ~ Jb
Рис. 9.68. Ф азовы й детектор (тип 1), вы п олнен ны й детектора
по схеме И склю чаю щ ее И Л И .
Рис. 9.69. Ф азовы й детектор (тип 2) оп ереж е­
н и я -о т с т а в а н и я , работаю щ ий «по ф ронтам ».
рирует выходные импульсы либо отста­
вания, либо опережения в зависимости от
того, когда появляются логические пере­
ходы выходного сигнала ГУН, после или
до переходов опорного сигнала соответст­
венно. Ширина этих импульсов равна про­
межутку времени между соответствующи­
ми фронтами, как показано на рисунке.
Во время действия этих импульсов выход­ Рис. 9.70.
ная схема либо отводит, либо отдает ток,
а в промежутках между импульсами нахо­ как это имеет место при использовании
дится в разомкнутом состоянии, форми­ фазового детектора типа 1.
руя зависимость между выходным напря­ Сравним свойства фазовых детекторов
жением и разностью фаз, показанную на двух основных типов.
рис. 9.70. Процесс абсолютно не зависит
от скважности импульсов на входе в отли­ Тип I Тип 2
чие от ситуации с рассмотренным ранее ИСКЛЮЧА­ Запуск по
фазовым компаратором типа 1. Другой ЮЩЕЕ ИЛИ фронту («на­
качка заряда»)
привлекательной особенностью этого ф а­
зового детектора является то, что импуль­ Скважность входного 50% опти­ Не играет
сы на выходе полностью исчезают, когда сигнала мально роли
Синхронизация по гар­ Да Нет
два сигнала засинхронизированы . Это
моникам
означает, что н а выходе отсутствую т Подавление помех Хорошее Плохое
«пульсации», которые вызывают периоди­ Остаточная пульсация
ческую фазовую модуляцию в контуре, на частоте 2/ вх Большая Малая
674 Глава 9

Диапазон синхрониза- Весь диапазон Весь диапазон


ции (L) ГУН ГУН
Диапазон захвата fL (f < I) L
Выходная частота при
рассинхронизации / мин

Существует еще одно различие между


этими двумя типами фазовых детекторов.
Детектор типа 1 всегда генерирует выход­
ное колебание, которое в дальнейш ем т. п.), поскольку они позволяют генери­
должно фильтроваться с помощью филь­ ровать чистое синусоидальное колебание,
тра контура регулирования (более подроб­ засинхронизированное с входным коле­
но обсудим это позже). Таким образом, банием «страшного» вида. Следует упо­
ФАПЧ с фазовым детектором типа 1 со­ мянуть еще один класс ГУН, - «преобра­
держит контурный фильтр, работающий зователи напряжения в частоту», которые
как фильтр нижних частот, сглаживающий обычно проектирую тся с оптимальной
логический выходной сигнал полной ам­ линейностью; они имеют, как правило,
плитуды. В таком контуре всегда п ри ­ скром ную м аксим альную частоту (до
сутствует некоторая остаточная пульсация 1 МГц) и вырабатывают импульсы с ло­
и, следовательно, периодические фазовые гическими уровнями (см. разд. 5.15).
изменения. В тех схемах, где ФАПЧ ис­ Следует помнить о том, что частота
пользуется для умножения или синтеза ГУН не ограничивается скоростью сраба­
частот, к выходному сигналу добавляют­ тывания логических схем. Можно, напри­
ся еще и «боковые полосы фазовой моду­ мер, использовать радиочастотные гене­
ляции» (см. разд. 13.18). раторы, настраиваемые с помощью ва-
Фазовый детектор типа 2, наоборот, рактора (диод с изменяемой емкостью)
генерирует выходные импульсы только (рис. 9.71).
тогда, когда между опорным сигналом и П родвигаясь в соответствии с этой
сигналом ГУН имеется фазовая разность. идеей еще на один шаг, можно было бы
Поскольку в противном случае выход ф а­ даже использовать такой элемент, как
зового детектора выглядит как разомкну­ отражательный клистрон, — микроволно­
тая цепь, конденсатор контурного филь­ вый (гигагерцевый) генератор, с электри­
тра работает как элемент запоминания ческой настройкой за счет и зм ен ен и я
напряжения, поддерживая напряжение, напряжения на отражателе. Разумеется,
сохраняющее требуемую частоту ГУН. ФАПЧ, использующая такие генераторы,
Если опорный сигнал «уходит» по часто­ потребует радиочастотный фазовый де­
те, то фазовый детектор генерирует пос­ тектор.
ледовательность коротких импульсов, за­ Зависимость частоты от управляющего
ряжая (или разряж ая) конденсатор до напряжения ГУН, используемого в ФАПЧ,
нового напряжения, необходимого для может не обладать высокой линейностью,
того, чтобы вновь вернуть ГУН в синхро­ однако в случае большой нелинейности
низм. коэффициент усиления в контуре будет
Генераторы, управляемые напряжением. изменяться в соответствии с частотой сиг­
Важным компонентом ФАПЧ является нала, и придется обеспечивать больший
генератор, частотой которого мож но запас устойчивости.
управлять, используя выходной сигнал
фазового детектора. Н екоторы е И М С 9.28. Проектирование ФАПЧ
ФАПЧ содержат ГУН (например, линей­
ный элемент 565 и КМ ОП-элемент 4046). Замыкание контура регулирования. Ф азо­
Кроме того, имеются отдельные ИМ С вый детектор вырабатывает сигнал ош иб­
ГУН, перечисленные в табл. 5.4. Инте­ ки, соответствующий фазовому рассогла­
ресный класс ГУН составляют элементы сованию между входным и опорным сиг­
с синусоидальным выходом (8038, 2206 и налами. Частотой ГУН можно управлять,
Сопряжение цифровых и аналоговых сигналов 675

подавая на его вход соответствующее на­ ГУН, так как выход фазового детектора
пряжение. Казалось бы, что здесь можно непосредственно управляет ГУН. В «кон­
поступить так же как и в любом другом тур второго порядка» вводится дополни­
усилителе с обратной связью, вводя кон­ тельная фильтрация на низкой частоте с
тур регулирования с некоторым коэф ф и­ целью предотвращения неустойчивости.
циентом передачи; мы поступали точно Такой контур обладает свойством «махо­
так же в схемах с операционными уси­ вика» и, кроме того, уменьшает «диапа­
лителями. зон захвата» и увеличивает время захвата.
Однако имеется одно сущ ественное К тому же, как будет показано ниже, при
отличие. Ранее, регулируемая с помощью использовании фазовых детекторов типа
обратной связи величина совпадала с ве­ 2 контур второго порядка гарантирует
личиной, измеряемой с целью формиро­ фазовую синхронизации при нулевой раз­
вания сигнала ошибки или была по край­ ности фаз между опорным сигналом и
ней мере ей пропорциональна. В усили­ сигналом ГУН. Практически во всех сис­
теле напряжения, например, мы измеряли темах применяют контуры второго поряд­
выходное напряжение и соответствующим ка, поскольку в большинстве применений
образом подстраивали входное. В систе­ система ФАПЧ должна обеспечивать ма­
мах ФАПЧ осуществляется интегриро­ лые флуктуации фазы выходного сигна­
вание; мы измеряем фазу, а регулируем ла, а также обладать некоторыми свойства­
частоту, но фаза является интегралом от ми памяти или «маховика». Контуры вто­
частоты. За счет этого в контуре регули­ рого п о р я д к а м огут и м еть в ы с о к и й
рования появляется фазовый сдвиг 90°. коэф ф ициент передачи на низких час­
Такой интегратор, включенный в кон­ тотах, что обеспечивает повышенную ус­
тур обратной связи, существенным обра­ тойчивость (по аналогии с достоинства­
зом влияет на работу схемы - дополни­ ми высокого коэффициента усиления в
тельное запаздывание по фазе на 90° на усилителях с обратной связью). Вернем­
частотах, где коэффициент усиления равен ся к делу и рассмотрим применение ФАПЧ
единице, вызывает возникновение само­ на примерах.
возбуждения. Простое решение заключа­
ется в том, чтобы не включать в контур 9.29. Пример разработки:
компоненты, которые дают дополнитель­ умножитель частоты
ное запаздывание по фазе, по крайней
мере на тех частотах, где коэффициент Формирование частоты, кратной фикси­
усиления близок к единице. В конце кон­ рованной входной частоте, является одним
цов операционные усилители имеют за­ из наиболее распространенны х прим е­
паздывание по фазе 90° на большинстве нений ФАПЧ. В частотных синтезаторах
частот своего диапазона, однако они пре­ частота выходного сигнала формируется
восходно работают. Это — один из подхо­ за счет умножения частоты стабильного
дов и он приводит к тому, что называется низкочастотного (допустим, 1 Гц) сигнала
«контуром первого порядка». Блок-схема на целое число п; число п можно задавать
в этом случае выглядит точно так же как в цифровом виде, т. е. вы получаете гиб­
ранее приведенная блок-схема ФАПЧ без кий источник сигналов, которым можно
фильтра нижних частот. управлять даже с помощью компьютера.
Хотя контуры первого порядка во мно­ Можно использовать ФАПЧ в более про­
гих ситуациях очень удобны, они не обла­ заических системах, например, для того
дают необходимыми свойствами «махо­ чтобы генерировать тактовую частоту,
вика», которые позволяю т генератору, синхронизированную с некоторой другой
управляемому напряжением, сглаживать эталонной частотой, которая уже имеет­
помехи и флуктуации входного сигнала. ся в приборе. Предположим, что мы хо­
Более того, контур первого порядка не тим получить тактовые сигналы частотой
сохраняет постоянным фазовое соотноше­ 61 440 Гц для двухстадийного АЦП. Такая
ние между опорным сигналом и сигналом частота обеспечивает производительность
676 Глава 9

К (В/рад) к ,№ ) Кгу„ (рад/(с • В)

Фазовый ГУН
/оп детектор - \

' т ВЫ
Х)'
(Ф о л)
VДф
/

<омг / С (рад/рад)
(Ф к о м п )

Рис. 9.72. Блок-схем а ум н ож ителя частоты.

7,5 измерений в секунду, причем на первой вость ФАПЧ второго порядка. ГУН рабо­
стадии (подъеме) потребуется 4096 перио­ тает как интегратор с характеристикой 1/ /
дов синхронизации (напомним, что в двух­ и запаздывающим фазовым сдвигом 90°
стадийном преобразовании используется (т. е. его характеристика п р о п о р ц и о ­
постоянный временной интервал) и на нальна 1//С0, а конденсатор заряжается от
второй стадии (разряд постоянным то­ источника тока). Для того чтобы иметь
ком) может потребоваться до 4096 пе­ приличный запас по фазе (разность между
риодов. Уникальная особенность схемы 180° и фазовым сдвигом на частоте, при
ФАПЧ заключается в том, что тактовую которой общий коэф ф ициент передачи
частоту 61440 кГц можно засинхронизи- контура равен 1), в фильтр нижних час­
ровать с сетевой частотой 60 Гц (61 440 = тот последовательно с конденсатором
= 60 х 1024), полностью подавив тем са­ включают резистор, для того чтобы при­
мым помехи на частоте 60 Гц, которые, остановить спад характеристики на неко­
как мы уже обсуждали в разд. 9.21, при­ торой частоте (с причудливым названием
сутствуют на любом сигнальном входе «нуль»). Комбинация этих двух характе­
преобразователя. ристик дает показанную на рисунке ха­
Начнем со стандартной схемы ФАПЧ, рактеристику контура. До тех пор пока
в которой между выходом ГУН и фазо­ спад коэффициента передачи контура бу­
вым детектором включен счетчик-делитель дет составлять 6 дБ/октава (в области еди­
на п (рис. 9.72). На этой схеме для каж­ ничного коэффициента передачи), кон­
дого функционального блока указан ко­ тур будет устойчив. Это делается с помо­
эффициент передачи. Это понадобится щью фильтра ниж них частот по типу
нам для проведения расчетов по устой­ «опережение—отставание» с соответствую­
чивости. Обратите особое внимание на то, щим образом выбранными свойствами (точ­
что фазовый детектор преобразует фазу но так же как компенсация опереж ения-
в напряжение, а ГУН —напряжение в про­ отставания в операционных усилителях).
изводную фазы по времени (т. е. частоту). Дальше вы увидите, как это делается.
Отсюда важное следствие — если фазу в Расчет коэффициента передачи. На рис.
самой нижней части схемы рассматривать 9.74 приведена схема ФАПЧ для синтезато­
как переменную, то ГУН будет действовать ра частоты 61 440 Гц. Фазовый детектор
как интегратор. Фиксированное входное и ГУН входят в состав КМ ОП ИМС ФАПЧ
напряжение рассогласования приводит к 4046. В этой схеме мы использовали ва­
линейно возрастающему фазовому рассог­ риант фазового детектора с запуском по
ласованию на выходе ГУН. Фильтр ниж ­ фронту (в ИМ С 4046 имеются оба вариан­
них частот и делитель на я имеют коэф ­ та). Его выходной сигнал вырабатывает­
фициенты передачи меньше единицы. ся двумя КМ ОП-транзисторами, которые
Устойчивость и фазовые сдвиги. Н а ф орм ирую т н асы щ енн ы е импульсы с
рис. 9.73 показаны диаграммы Боде, с по­ уровнями Ucc или 0 В. Фактически, это
мощью которых можно оценить устойчи­ выход с тремя состояниями, как было
Сопряжение цифровых и аналоговых сигналов 677

НЧ-фильтр ("о п е р е ж е н и е - Коэф ф ициент передачи


отставание") контура
Рис. 9.73. Д иаграм м а Боде Ф АПЧ

изложено выше, поскольку он находится После того как необходимый диапазон


в состоянии высокого импеданса, за ис­ ГУН выбран, остается лиш ь произвести
ключением интервала времени, когда дей­ расчет фильтра нижних частот. Это очень
ствует импульс фазового рассогласования. ответственная часть. Начнем с того, что
Минимальная и максимальная частоты выпишем составляющие коэффициента
ГУН, соответствующие управляющим на­ передачи контура, учитывая каждую ком­
пряжениям О В и Ucc, устанавливаются поненту (табл. 9.7 и рис. 9.72).
подбором величин /?,, R2 и С, согласно Придерживайтесь одних и тех же единиц
некоторым схемным характеристикам. измерения; не переходите с / н а ю или, что
Выбранные нами величины показаны на еще хуже, с герц на килогерцы. Нам ос­
рисунке. Следует отметить, что ИМС 4046 талось определить только AQ,. Сделаем это,
страдает «хроническим заболеванием» - записав общее выражение для коэффици­
повышенной чувствительностью к напря­ ента передачи контура, но не забывая, что
жению питания, поэтому проверяйте харак­ ГУН - это интегратор,
теристики по паспортным данным. Осталь­
ные компоненты контура выбираются по
стандартным для ФАПЧ процедурам.

Рис. 9.74. П ри м ен ен и я ум нож ителя Ф А П Ч для ф орм и рован и я тактовы х си гналов, син хронн ы х с частотой
сети переменного тока.
678 Глава 9

Таблица 9.7. Расчет коэффициента передачи ФАПЧ


Узел Ф у н кц и я К о эф ф и ­ Р асчет к о эф ф и ц и ен та передачи (Ucc = 10 В)
ц и ен т
передачи

Ф азовы й детектор ц = О т 0 до Ucc <-> от 0° до 360°

Н Ч -ф и льтр W К = --------- 1+ j a R *C *-------в/В


к ф 1 + M R }C2 + 1 + Я4С 2)
d% u,
ГУН V 20 кГц( и г = 0) до 200 кГ ц ({/2 = 10 В)
dt ~ ^Т У Н ^2 Л ГУН
-+ КПИ = 18 к Г ц /В = 1,13 105 радД В с)

1 _ 1
Делитель н а п ^ком п
п
Ф вы х К^ ~ п 1024

Общий коэффициент передачи контура но меняю щ ейся входной частоте, дол­


равен жен иметь низкую частоту единичной пе­
редачи. Это позволит уменьшить фазовый
Кобш
, - Клет KфJ' K ГnУ НJ' -ja
'
)K
' дел= шум на выходе и сделать систему ФАПЧ
= 1 SQ х 1 + ja>R4C2 х нечувствительной к шумам и выбросам на
1 + M R )C 2 + Л4С2) входном сигнале. Будут едва заметны даже
кратковременные пропадания входного
1,13 105 1 сигнала, поскольку напряжение, запоми­
наемое на конденсаторе фильтра, заста­
у'ю Х 1024' вит ГУН продолжать формирование той
Теперь наступает этап выбора частоты, на же самой выходной частоты.
которой коэффициент передачи контура В данном случае мы выбрали частоту
должен проходить через единицу. Идея единичной п еред ач и ^, равной 2 Гц или
заключается в том, что частота единичной 12,6 рад в секунду. Это значительно ниже
передачи выбирается достаточно высокой, опорной частоты и вряд ли можно ожи­
чтобы контур мог должным образом от­ дать, что реальные отклонения сетевой
слеживать изменения входной частоты, но частоты превысят эту величину (следует
и достаточно низкой, чтобы обеспечить учитывать, что электроэнергия выраба­
свойства «маховика» и сглаживать помехи тывается крупными генераторами с огром­
и скачки входного сигнала. Например, ной механической инерцией). По н е­
система ФАПЧ, предназначенная для де­ гласному правилу точку излома характе­
модуляции входных ЧМ -сигналов или де­ р и сти к и ф и л ьтр а н и ж н и х ч астот (ее
кодирования последовательностей высо­ «нуль») следует выбрать на частоте по
коскоростных тональных сигналов, дол­ крайней мере в 3—5 раз ниже, чтобы обес­
жна иметь высокое быстродействие (для печить достаточный запас по фазе. Вспом­
входных ЧМ -сигналов полоса пропуска­ ните, что фазовый сдвиг простой /?С-цепи
ния контура должна соответствовать вход­ меняется от 0 до 90° в диапазоне частот
ному сигналу, т. е. равняться максималь­ от 0,1 до 10 относительно частоты — ЗдБ
ной частоте модуляции, а для декодиро­ («полюс»), при которой сдвиг равен 45°.
вания тональных сигналов время отклика Выберем частоту нуля f x равной 0,5 Гц,
должно быть меньше, чем продолжитель­ или 3,1 рад/с (рис. 9.75). Точка излома f x
ность тонального сигнала). С другой сто­ определяет постоянную времени R4C2:
рон ы , контур, п р ед н азн ач ен н ы й для R4C2 = 1/2тг/j. П опробуем взять С2 =
генерации фиксированной частоты, крат­ — 1 мкФ и R4 = 330 кОм. Осталось лишь
ной некоторой стабильной и медлен­ выбрать R3 так, чтобы коэффициент пере-
Сопряжение цифровых и аналоговых сигналов 679

мы п о д о зр е в а е м , п л о х а я р е п у т а ц и я
ФАПЧ —это следствие как раз такого под­
хода. Тем не менее не можем удержать­
ся, чтобы не дать совет фанатикам метода
проб и ошибок: ЯъСг определяет время
сглаживания контура, а Д /Л 3 - демпфи­
рование, т. е. отсутствие перегрузки при
ск ач к о о б р азн о м и зм ен ен и и частоты .
Можете начинать с RA = 0,2/?3.
Формирование тактовых импульсов для
видеотерминала. Другим полезным при­
Рис. 9.75. менением высокочастотного генератора,
синхронизированного с сетевой частотой
дачи контура был равен 1 на частоте f 2. 60 Гц, является формирование видеосиг­
Полученный результат: R3 = 4,3 МОм. налов для буквенно-цифрового терминала
Упражнение 9.5. Покажите, что при выбранных компьютера. Стандратная скорость сме­
компонентах фильтра единичный коэффициент пе­ ны изображения в терминалах составля­
редачи контура получается действительно на частоте ет 30 кадров в 1 с. Если отсутствует точ­
А = 2 гц- ная синхронизация частоты синхроим­
Иногда параметры фильтра могут ока­ пульсов по вертикали и сетевой частоты,
заться не совсем подходящ ими и вам то в связи с неизбежными сетевыми на­
придется подстраивать их или смещать ча­ водками изображение будет испытывать
стоту единичной передачи. Полученные м едленную «боковую качку». С и с те­
значения соответствуют ФАПЧ на КМОП- ма ФАПЧ превосходно решает эту про­
элементах (типовой входной импеданс блему. В ы сокочастотны й ГУН (около
ГУН составляет 1012 Ом). Для ФАПЧ на 15 МГц) синхронизируется заранее оп ­
биполярных транзисторах (например типа ределенной частотой, кратной 60 Гц;
4044) возможно потребуется согласование путем деления этой тактовой частоты
импедансов с помощью внешнего опера­ мож но последовательно сформ ировать
ционного усилителя. точки каждого отображаемого символа,
В этом примере для упрощения фильт­ число символов в каждой строке и число
ра мы использовали фронтовой (типа 2) строк в каждом кадре.
фазовый детектор. На практике, возмож­
но, это и не самое лучшее решение для
ФАПЧ, синхронизированной с сетевой 9.30. Захват и слежение
частотой 60 Гц, поскольку сигналы с ча­ в системе ФАПЧ
стотой 60 Гц содержат сравнительно вы­
сокий уровень шума. При тщательном Очевидно, что, войдя в синхронизм, си­
выборе аналоговой входной схемы (напри­ стема будет в нем оставаться до тех пор,
мер, после фильтра нижних частот вклю­ пока входной сигнал не выйдет за преде­
чить триггер Шмитта) можно добиться хо­ лы допустимого диапазона сигналов об­
рошей работы схемы; в противном случае ратной связи. Интересно знать, как сис­
следует использовать фазовый детектор типа тема ФАПЧ входит в синхронизм в пер­
1 со схемой ИСКЛЮЧАЮЩЕЕ ИЛИ. вы й раз. В едь н а ч а л ь н о е ч а с то тн о е
Метод проб. Для некоторы х людей рассогласование вызывает появление пе­
искусство схемотехники заключается в риодического выходного сигнала на ф а­
том, чтобы подбирать компоненты фильт­ зовом детекторе разн остн ой частоты.
ра до тех пор, пока контур не заработает. После фильтра нижних частот этот сиг­
Если вы относитесь к их числу, то мы нал уменьшается до медленно меняющих­
вынуждены просить вас пересмотреть ся колебаний небольшой амплитуды, но
свои взгляды. Мы представили детальный никак не является хорошим постоянным
расчет контура ФАПЧ потому, что, как сигналом рассогласования.
680 Глава 9

Процесс захвата. Ответ на этот вопрос Каждый процесс захвата индивидуа­


не так уж и прост. Контур первого по­ лен и каждый раз он выглядит п о-раз-
рядка всегда будет синхронизироваться, ному!
поскольку там отсутствует ослабление сиг­ Полоса захвата и слежения. При ис­
нала рассогласования на низкой частоте. пользовании фазового детектора по схеме
Синхронизация контура второго порядка ИСКЛЮ ЧАЮ Щ ЕЕ ИЛИ (тип 1) полоса
зависит от типа фазового детектора и по­ захвата ограничена постоянной времени
лосы пропускания фильтра нижних час­ ф и льтра ниж них частот. В этом есть
тот. Кроме того, фазовый детектор по определенный смысл, так как, если раз­
схеме ИСКЛЮ ЧАЮ Щ ЕЕ ИЛИ (типа 1) личие по частоте велико, сигнал рассог­
имеет ограниченный диапазон захвата, ласования будет ослабляться фильтром на­
зависящий от постоянной времени филь­ столько, что контур никогда не сможет осу­
тра (это обстоятельство можно использо­ ществить захват. Очевидно, что увеличение
вать, если вы хотите сделать систему постоянной времени фильтра уменьшает
ФАПЧ, синхронизация которой происхо­ полосу захвата, так как это приводит к
дит в пределах определенного частотного пониж енному коэф ф ициенту передачи
диапазона). контура. Оказывается, что фронтовой фа­
Процесс захвата происходит следующим зовый детектор не имеет подобного огра­
образом: когда сигнал фазового рассог­ ничения. Полоса слежения для обоих ти­
ласования приближает частоту ГУН к пов детекторов определяется диапазоном
опорной частоте, его изменения стано­ управляющих напряжений ГУН.
вятся более медленными и наоборот. Сиг­
нал рассогласования поэтому является 9.31. Некоторые примеры
асимметричным и меняется более медлен­ применения систем ФАПЧ
но в той части цикла, в течение которой
/ гун ближе подходит к f on. В результате Мы уже упоминали об использовании
появляется ненулевая средняя компонен­ ФАПЧ для умножения частот. Целесооб­
та, т. е. постоянная компонента, кото­ разность такого применения, как это сле­
рая и вводит ФАПЧ в синхронизм. Если дует из рассм отренного прим ера, н а ­
внимательно посмотреть на управляющее столько очевидна, что сомнений в при­
напряжение ГУН в процессе захвата, то м е н е н и и Ф А П Ч не д о л ж н о б ы ть.
можно увидеть что-то похожее на сигнал, В простых умножителях (например, для
показанны й на рис. 9.76. П оследний генерации более высокой тактовой часто­
всплеск на этом сигнале имеет весьма ин­ ты в цифровых системах) не возникает ни­
тересную причину. Даже в том случае, каких проблем, связанных с помехами на
когда частота ГУН достигает требуемого опорном сигнале, поэтому здесь можно
значения (об этом можно судить по пра­ использовать системы первого порядка.
вильному управляю щ ему напряж ению Рассмотрим еще несколько примеров
ГУН), в системе не обязательно происхо­ применения ФАПЧ, интересных с точки
дит захват (из-за несоответствия фазы). зрения разнообразия областей использо­
Это и может быть причиной всплеска. вания.
Детектирование ЧМ-сигналов. При ча­
стотной модуляции кодирование инф ор­
мации осущ ествляется путем изм енения
частоты несущего сигнала пропорцио­
н альн о изм ен ен и ю и н ф орм ац ион н ого
сигнала. ЧМ и другие виды модуляции
мы рассмотрим в гл. 13 более подроб­
но. Существуют два метода восстанов­
ления информ ации из модулированного
сигнала с помощью фазовых детекторов
Рис. 9.76. или систем ФАПЧ. Под термином «де-
Сопряжение цифровых и аналоговых сигналов 681

А ..
лт л р НЧ-фильтр
Фильтр
Фазовый U Амплитудно- Демодул иро-
Ах ^ детектор К . ”, модулирован ванный сигнал
(НМ) ' иЛ х' несущая

Рис. 9.79. АМ-детектирование.


ГУН
напряжение. Фазосдвигающая схема дол­
жна быть так хитроумно сделана, чтобы
Рис. 9.77. ЧМ-дискриминатор с ФАПЧ. фазовый сдвиг линейно зависел от часто­
ты в диапазоне входных частот (это дос­
тектирование» мы будем понимать про­ тигается обычно с помощью резонансных
цесс демодуляции. LC-схем). Выходное напряжение будет
Самым простым методом является си н ­ зависеть, таким образом, от входной час­
хронизация системы ФАПЧ приходящим тоты. Этот метод назы ваю т «двойным
сигналом. Н апряж ение, управляю щ ее балансным квадратурным ЧМ -детекти-
частотой ГУН, пропорционально входной рованием». Он применяется во многих ИМС
частоте и, следовательно, является тре­ усилителей/детекторов промежуточной
буемым модулирующим сигналом (рис. частоты (например САЗ 189).
9.77). Полосу пропускания фильтра в та­ Детектированием АМ-сигналов. Требу­
кой системе можно сделать достаточно ется: способ ф ормирования выходного
широкой, для того чтобы пропустить м о­ сигнала, пропорционального мгновенной
дулирующий сигнал, т.е. время реакции амплитуде вы сокочастотного сигнала.
ФАПЧ должно быть меньше, чем мини­ Обычно используется выпрямление (рис.
мальное время отклонения восстанавли­ 9.79). На рис. 9.80 показан весьма свое­
ваемого сигнала. Как показано в гл. 13, образный метод на основе ФАПЧ («гомо-
сигнал, используемый в ФАПЧ, не дол­ динны й прием»), ФАПЧ вырабатывает
жен быть реально передаваемым колеба­ прямоугольные сигналы с частотой, со­
нием; он может быть сигналом «проме­ впадающей с модулированной несущей.
жуточной частоты» (ПЧ), формируемым С помощью умножения входного сигнала
в приемной системе с помощью смесите­ на это прямоугольное колебание форми­
ля при преобразовании. Для того чтобы руется выпрямленный двухполупериодный
избежать в этом методе ЧМ-детектирова- сигнал; остается только пропустить его
ния искажений на звуковых частотах, сле­ через фильтр нижних частот для того, что­
дует обеспечить высокую линейность ГУН. бы удалить остатки несущей и выделить
Второй метод ЧМ -детектирования и с­ огибающую. Если в системе ФАПЧ исполь­
пользует фазовый детектор, но не в со­ зуется фазовый детектор по схеме И С ­
ставе контура ФАПЧ. Принцип реализа­ КЛЮЧАЮЩ ЕГО ИЛИ, то выходной сиг­
ции этого метода показан на рис. 9.78. нал сдвигается на 90° относительно опор­
Входной сигнал и его копия со сдвину­ ного сигнала. В связи с этим на пути
той фазой подаются на фазовый детектор, сигнала к умножителю следует ввести ф а­
который вырабатывает некоторое входное зовый сдвиг 90°.
Синхронизация импульсов и восстанов­
ление сигнала. При цифровой передаче сиг­
налов по каналу связи передается битовая
(НЧ)
последовательность, содержащая инфор­
мацию. Информационные сигналы могут
быть по своей природе цифровыми или
аналоговыми сигналами, представленными
в цифровом виде, как, например, в «им-
п у льсн о -к о д о в о й модуляции» (И К М ,
Рис. 9.78. Квадратурное ЧМ-детектирование. см. разд. 13.20). Очень похожей ситуацией
682 Глава 9

Рис. 9.80. Гомодинное детектирование.

является декодирование цифровой и н ­ тором 10 МГц. Варактор (настроечный


формации, считываемой с магнитной лен­ диод, см. разд. 5.18) осуществляет точ­
ты или диска. В обоих случаях могут по­ ную настройку LС-генератора на поле­
являться помехи и изменения частоты сле­ вом транзисторе в соответствии с выход­
дования импульсов (например, за счет ным сигналом фазового детектора типа
растягивания ленты), поэтому желатель­ 2 (’НС4046). Обратите внимание на то,
но иметь чистый сигнал синхронизации что диапазон настройки варактора 18—
на той же частоте, что и считываемые 30 пФ (от 5 до 1 В соответственно) обеспе­
и н ф о р м ац и о н н ы е си гн ал ы . С и стем а чивает изменение параллельной емкости
ФАПЧ будет работать здесь превосходно. LC-цепи в пределах 2 пФ (от 8,2 до 10 пФ),
Фильтр нижних частот исключил бы толь­ что дает диапазон настройки ±0,5% час­
ко дрожание и помехи на входной синх­ тоты генератора. Мы намеренно сделали
ронизирующей последовательности, но диапазон настройки узким, для того что­
медленные изменения скорости ленты бы обеспечить хорошую стабильность ге­
остались бы. нератора.
В качестве другого примера синхрони­ Частоты опорного и выходного сигна­
зации сигналов можно взять схему из разд. лов с помощью цифровых средств делят­
8.31, в которой для получения превосход­ ся до частоты 400 кГц, на которой ф азо­
ного синусоидального сигнала использу­ вый детектор работает лучше. Заметьте,
ется точный сигнал «60 Гц», сформиро­ что для преобразования синусоидального
ванный цифровым способом (в действи­ сигнала в сигнал с логическими уровня­
тельности его частота находится где-то ми используется вентиль типа ’НС со сме­
между 50 и 70 Гц). Для того чтобы пре­ щением на логическом пороге с помощью
образовать прямоугольное колебание в резистора обратной связи большого но­
синусоидальное, мы использовали в этой минала. О братите вним ание такж е на
схеме 6-звенный фильтр нижних частот выходную ступень обычного эмиттерного
Баттерворта. Здесь заманчиво было бы повторителя (с ограничением по току),
использовать ИМ С ГУН с синусоидаль­ предназначенную для работы на 50-омный
ным выходным сигналом (например ИМ С кабель, как показано на рис. 9.42. При
8038), работающей синфазно с точным настройке схемы ферритовый сердечник
прямоугольным сигналом. Это гаранти­ генератора подстраивается до получения
ровало бы постоянную амплитуду сину­ полного размаха на выходе фильтра ф а­
соидального сигнала, обеспечило ш иро­ зового детектора.
кий диапазон изменения частоты и позво­ Фирма Motorola выпускает прекрасную
лило бы избавиться от «дрожания» на серию недорогих ИМ С «ФАПЧ-синтеза-
выходе умножителя частоты. тор частоты» МС145145-59, которые со­
£С-генератор. На рис. 9.81 показан при­ держат фазовые детекторы типа 2 и дели­
мер системы ФАПЧ, в которой исполь­ тели по модулю п и для входного и для
зован LС-генератор и цифровое сравне­ опорного сигналов; оба делителя програм­
ние по фазе на более низкой частоте. При мируются, точность 14 разрядов и более.
этом потребовался стабильный прецизи­ Держите эти схемы на примете на тот слу­
онный источник частоты 14,4 МГц, рабо­ чай, когда вам потребуется синтезировать
тающий синхронно с задающим генера­ какие-нибудь необычные частоты.
Рис. 9.81. ФАПЧ с варакторной настройкой.
684 Глава 9

ПСЕВДОСЛУЧАЙНЫЕ ДВОИЧНЫЕ С помощью этого способа можно легко по­


ПОСЛЕДОВАТЕЛЬНОСТИ лучить плоский спектр шума в пределах
И ГЕНЕРАТОРЫ ШУМА нескольких мегагерц. Позже вы увидите,
ч то и с т о ч н и к и а н а л о г о в о г о ш ум а,
9.32. Цифровые методы генерации шума синтезированны е цифровым способом,
имеют целый ряд преимуществ перед чи­
Псевдослучайные двоичные последова­ сто аналоговыми способами, использую­
тельности являют собой пример гармо­ щими шумящие диоды или резисторы.
ничного сочетания аналоговой и цифро­ Другие области применения. Кроме та­
вой техники. Оказывается, можно необы­ ких очевидных применений, как аналого­
чайно просто генерировать последова­ вые или цифровые источники шума, ПСП
тельности бит (или слов), с хорошими полезны в целом ряде других областей,
стохастическими свойствами, т. е. пос­ ничего общего не имеющих с шумами.
ледовательности, которые будут обладать Их можно использовать для шифрования
такими же вероятностными и корреляци­ сообщений или данных, поскольку иден­
онными свойствами, каким и обладает тичный генератор ПСП на приемном кон­
идеальная машина для подбрасывания це дает ключ к шифру. ПСП широко ис­
монеты. Поскольку эти последователь­ пользуются в кодах, обнаруживающих и
ности генерируются стандартными эле­ исправляющих ошибки, так как они по­
ментами детерминированной логики (если зволяют видоизменить блоки данных та­
быть точнее, регистрами сдвига), получа­ ким образом, что правильные кодовые
ющиеся двоичные последовательности на сообщения будут находится друг от друга
самом деле являются предсказуемыми и на максимально возможном «расстоянии
повторяемыми, хотя любой фрагмент та­ Хэмминга» (измеряется числом позиций
кой последовательности во всех отноше­ с разными данными). Обладая хороши­
ниях выглядит как случайная последова­ ми автокорреляционны м и свойствами,
тельность 0 и 1. Всего с помощью несколь­ они являются идеальными как коды для
ких И М С мож но получить п о сл ед о ­ радиолокационных систем, в которых от­
вательности, которые тянутся буквально на ветный сигнал сравнивается (точнее, вза­
столетия без повторения; это очень про­ имно коррелируется) с передаваемой би­
стой и привлекательный способ получения товой последовательностью. Их можно
цифровых двоичных последовательностей использовать даже как компактные дели­
или аналоговых сигналов шума. Действи­ тели по модулю п.
тельно, существует даже недорогая ИМ С
«цифровой источник шума», выпускаемая 9.33. Последовательности,
в корпусе мини-D IP (ММ5437 фирмы генерируемые регистрами сдвига
National), а генераторы шума на регист­ с обратными связями
рах сдвига входят в состав технических
средств многих ИМ С, создающих звуко­ Наиболее известным (и самым простым)
вые эффекты. генератором ПСП является регистр сдви­
Аналоговый шум. С помощью простой га с обратной связью (рис. 9.82). Регистр
фильтрации нижних частот псевдослучай­ сдвига длины т работает от тактовых им­
ной двоичной последовательности (ПСП) пульсов с частотой f 0. Входная последо­
можно получить гауссов белый шум с ог­ вательность ф орм ируется с помощ ью
раниченной полосой, т. е. напряжение
Регистр сдвига (тактируемый)
шума с плоским энергетическим спект­
ром до некоторой частоты среза (более 1 2 3 4 п т Выходная
подробно о шумах см. гл. 7). С другой ПСДП
_
стороны, с помощью взвешенного сум­
мирования содержимого регистров сдви­
га (с использованием набора резисторов)
О
Рис. 9.82. Генератор псевдослучайной двоичной
можно осуществить цифровую фильтрацию. последовательности.
Сопряжение цифровых и аналоговых сигналов 685

различных состоянии, затем они повто­


ряются вновь. Значит, это регистр мак­
симальной длины.
<1 Qc Q0 Упражнение 9.6. П окаж ите, что 4-разряд н ы й ре­
D '195 гистр с о б ратной связью от второго и четвертого
JT T L T L разрядов не явл яется регистром м акси м альн ой дл и ­
1акт ны. С колько сущ ествует различны х последователь­
ностей? С кол ько состоян и й в каж дой последователь­
Р ис. 9.83. ности?

Отводы обратной связи. Сдвиговые ре­


вентиля И С К Л Ю Ч А Ю Щ Е Е И Л И , на гистры максимальной длины можно вы­
вход которого поступают сигналы от я-го полнить с числом отводов в цепи обрат­
и последнего (яг-го) разрядов регистра ной связи больше 2 (в этом случае ис­
сдвига. Такая схема проходит через не­ пользуются несколько вентилей ИСКЛЮ ­
которое множество состояний (совокуп­ ЧА Ю Щ ЕЕ И Л И , соединенны х в виде
ность состояний регистра сдвига после стандартного дерева четности, г. е. в виде
каждого тактового импульса), которые суммы по модулю 2 нескольких разрядов).
после К тактов начинают повторяться, На самом деле для некоторых значений т
т. е. последовательность состояний яв ­ регистр максимальной длины можно сде­
ляется циклической с периодом К. лать только в том случае, когда число от­
Максимальное число возможных состо­ водов будет больше 2. Ниже перечисле­
яний яг-разрядного регистра равно К = ны все значения т до 40, для которых
= 2т, т. е. числу яг-битовых двоичных ком­ регистр максимальной длины реализует­
бинаций. Однако состояние «все нули» яв­ ся с использованием ровно двух отводов,
ляется «тупиком» для этой схемы, посколь­ т. е. с обратной связью от я-го и яг-го
ку на выходе вентиля И С К Л Ю ­ (последнего) разрядов по типу регистра,
ЧАЮЩЕЕ ИЛИ появляется 0, который приведенного ранее. Представлены так­
вновь поступает на вход схемы. Таким же значения я и длина цикла К по числу
образом, последовательность максималь­ тактов. В некоторых случаях подойдут и
ной длины, которую может сформировать другие значения л и во всех случаях п мож­
д ан ная схема, содерж ит 2т — 1 бит. но заменить на т — п; таким образом, для
Оказывается, что такую последователь­ предыдущего примера можно использо­
ность максимальной длины можно полу­ вать отводы я = 1 и т = 4.
чить только при правильном выборе яг и
т л Длина
я, причем полученная последовательность
будет псевдослучайной. (Критерием мак­ 3 2 7
4 3 15
сим альной длины явл яется н еп р и в о ­ 5 3 31
димость и примитивность многочлена 1 + 6 5 63
+ х" + х" над полем Галуа). В качестве 7 6 127
9 5 511
примера рассмотрим 4-разрядный регистр 10 7 1023
сдвига с обратной связью, показанный 11 9 2047
15 14 32767
на рис. 9.83. Начиная с состояния 1111 17 14 131071
(можно было бы начать с любого другого 18 11 262143
состояния, за исключением 0000), можно за­ 20 17 1048575
писать состояния в порядке их следования: 21 19 2097151
22 21 4194303
1111 0100 1011 23 18 8388607
0010 0101 25 22 33554431
0111
28 25 268435455
ООП 1001 1010
27
29 536870911
0001 1100 1101 31 28 2147483647
1000 0110 1110 33 20 8589934591
35 33 34359738367
Мы записали эти состояния как 4-разряд- 36 25 68719476735
ные числа QAQBQCQD. Здесь 15 = (24 - 1) 39 35 549755813887
686 Глава 9

Длина регистров сдвига обычно кратна зависят от исходов предыдущих «под­


8 и, возможно, как раз такую длину вы брасываний» и поэтому вероятность того,
захотите использовать. В этих случаях что серия из последовательных «1» или «0»
может потребоваться более двух отводов. закончится при следующем подбрасыва­
Вот эти магические числа: нии, равна 1/2 (вопреки обывательскому
пониманию «закона о среднем»).
т Отводы Длина
3. Если последовательность полного
8 4, 5, 6 255
цикла (X тактов) сравнить с этой же пос­
16 4, 13, 15 65535
ледовательностью, но циклически сдви­
24 17, 22, 23 16777215
нутой на любое число символов п (п не
В И М С М М 5437 (генератор шума) является нулем или кратным К), то чис­
используется 23-разрядный регистр с от­ ло несовпадений будет на единицу боль­
водом от 18-го разряда. Внутренний так­ ше, чем число совпадений. Научно вы­
товый генератор обеспечивает работу на ражаясь, автокорреляционная функция
частоте около 160 кГц; схема генерирует этой последовательности представляет
белый шум в диапазоне до 70 кГц (затуха­ собой дельта-функцию К ронекера при
ние ЗдБ) с временем цикла около 1 мин. нулевой задержке и равна — 1/К при лю ­
На рис. 7.61 эта ИМ С была использована бой другой задержке. Отсутствие «боко­
в схеме генератора «розового шума». При вых лепестков» автокорреляционной фун­
использовании 33-разрядного регистра, кции — это как раз то свойство, которое
работающего на частоте 1 МГц, время делает ПСП очень полезными в радиоло­
цикла будет около 2 ч. Время цикла 100- кационных системах.
разрядного регистра, работающего на ча­ Упражнение 9.7. Покажите, что последователь­
стоте 10 МГц, будет в миллион раз боль­ ность, полученная с помощью 4-разрядного реги­
ше, чем возраст Вселенной! стра сдвига (с отводами п = 2, т = 4), удовлетворя­
Свойства последовательностей макси­ ет этим свойствам. В качестве «выхода» возьмите
мальной длины. Псевдослучайную после­ £?д: 100010011010111.
довательность двоичных символов мы
получаем путем тактирования одного из та­ 9.34. Формирование аналогового
ких регистров и наблюдения последова­ шума с использованием
тельных выходных двоичных символов. последовательностей максимальной
Выход можно взять от любого разряда длины
регистра; обычно в качестве выхода ис­
пользуют последний (т-й) разряд. Пос­ Преимущества шума, полученного циф­
ледовательность максимальной длины об­ ровым способом. Как ранее уже отмеча­
ладает следующими свойствами: лось, цифровой выход регистра сдвига с
1. В полном цикле (X тактов) число «1» обратной связью, вырабатывающего пос­
на единицу больше, чем число «0». Доба­ ледовательность максимальной длины,
вочная «1» появляется за счет исключе­ можно преобразовать в белый шум с ог­
ния состояния «все нули». Это свидетельст­ раниченной полосой, используя фильтр
вует о том, что «орлы» и «решки» равно­ нижних частот, частота среза которого су­
вероятны (дополнительная «1» большой щественно ниже тактовой частоты регист­
роли не играет; 17-разрядный регистр бу­ ра. Прежде чем вдаваться в детали, пока­
дет вырабатывать 65 536 «1» и 65 535 «0» жем некоторые преимущества аналогового
за один цикл). шума, полученного цифровым способом.
2. В одном цикле (К тактов) половина Помимо всего прочего, при таком подходе
серий из последовательных «1» имеет дли­ появляется возможность генерировать шум
ну 1, одна четвертая серий - длину 2, одна с заданными спектром и амплитудой с под­
восьмая —длину 3 и т.д. Такими же свой­ стройкой полосы (путем подстройки так­
ствами обладают и серии из «0» с учетом товой частоты), используя надежные и
пропущенного «О». Это говорит о том, простые в обращении цифровые схемы.
что вероятности «орлов» и «решек» не Здесь отсутствуют нестабильность генера­
Сопряжение цифровых и аналоговых сигналов 687

торов на шумящих диодах, проблемы вза­


имовлияния и помех, которые не дают
покоя чувствительным маломощным ана­ +Q
1 ( ( 1 1 W
логовым схемам диодных или резистор­ Время
ных генераторов шума. Наконец, ц и ф ­ -Q
ровые схемы генерируют повторяемый
«шум»; если его отфильтровать с помо­
-ч н- 1 период тактовой
частоты

щью взвеш енного цифрового фильтра Рис. 9.84.


(более подробно об этом несколько поз­
+о В
же), то можно получить повторяемы е
колебания шума, не зависящие от такто­
вой частоты.

9.35. Энергетический спектр +з_П _Г


последовательности,
сформированной при помощи
регистра сдвига

Спектр выходного сигнала, генерируемо­


го регистром сдвига максимальной дли­
ны, составляют колебания шума от часто­
ты повторения всей последовательности
f na/ K до тактовой частоты и выше. До
частоты 12% от тактовой спектр имеет Рис. 9.85. Прецизионная биполярная выходная
плоскую ч асть с н ер ав н о м ер н о сть ю ступень с низким Z Bblx.
±0,1 дБ, затем наблюдается быстрое па­
дение до уровня —0,3 дБ на частоте 44% литель с регулировкой тока постоянной
/ а]СТ. Таким образом, фильтр нижних час­ составляющей в точке суммирования или
тот с частотой среза в верхней области КМ ОП-ключ ’4053, работающий от ±а В,
5-10% от тактовой частоты будет преобра­ с двумя входами, подключенными к ис­
зовывать выходной сигнал регистра сдви­ точникам питания.
га в аналоговое напряжение шума с огра­ Как мы отмечали выше, автокорреляци­
ниченной полосой. Для этой цели доста­ онная функция последовательности сим­
точен даже простой ЛС-фильтр, хотя, волов на выходе содержит один пик. Если
если возникает необходимость в точной состояния на выходе представить числами
полосе шума, то желательно использовать + 1 и - 1, то цифровая автокорреляцион­
активные фильтры с крутой характерис­ ная функция будет иметь вид, показанный
тикой на частоте среза (см. гл. 5). на рис. 9.86; (цифровая автокорреляция -
Для того чтобы эти утверждения звуча­ это сумма произведений соответствую­
ли более убедительно, обратимся к вы­ щих разрядов при сравнении последова­
ходному сигналу регистра сдвига и его тельности двоичных символов с ее сдви­
спектру. Обычно желательно исключить нутой копией).
постоянную составляющую в цифровом
сигнале, формируя выходной сигнал, в +2" - 1 (= К)
котором « 1» соответствует напряжение
+а В, а «0» - а В (рис. 9.84). Это можно -1
легко сделать с помощью двухтактного
0
4=
,
1 2 3 4 5 6 7 К- 2\ К \
транзисторного каскада, показанного на
рис. 9.85. М ожно также использовать К- 1 К - 1
МОП-транзисторы, схемы стабилизации Рис. 9.86. Дискретная автокорреляционная функ­
напряжения с фиксирующими диодами, ция для полного цикла максимальной последователь­
быстродействующий операционный уси- ности.
688 Глава 9

I^ К периодов такто- ( функции, используя стандартные матема­


П вой частоты П
тические средства. В результате получа­

"А /-«■ А ются равноудаленные серии пичков (дель-


та-функций), начинающ ихся с частоты
—► ] \*~ . At— *■ повторения всей последовательности. / ^ К
1 период тактовой и затем идущих через равные интервалы
частоты f ^ J K . То, что спектр состоит из совокуп­
ности дискретных спектральных линий,
Рис. 9.87. Н епреры вная автокорреляционная ф ун к­ отражает тот факт, что последовательность
ция для полного цикла максимальной последователь­ время от времени (периодически) повто­
ности. ряется. Пусть вас не удивляет странный
вид спектра; он будет выглядеть непрерыв­
Не пугайте ее с непрерывной автокорре­ ным при любых изменениях и приложе­
ляционной функцией, которую рассмот­ ниях, которые занимают время, меньшее
рим несколько позже. Функция на этом чем время цикла регистра. Огибающая
графике определена только для сдвигов, спектра неотфильтрованного сигнала на
соответствующих целому числу тактов. выходе регистра показана на рис. 9.88.
Для всех ненулевых сдвигов и сдвигов, не Она пропорциональна квадрату функции
кратных общему периоду К, автокорре­ (sin х )/х . Обратите внимание на одно
ляционная функция постоянна и имеет необычное свойство — на тактовой часто­
значение — 1 (поскольку в последователь­ те и ее гармониках энергия шума равна
ности есть дополнительная 1); по сравне­ нулю.
нию со значением функции при нулевом Напряжение шума. При генерации ана­
сдвиге (К) величина — 1 пренебрежимо логового шума используется, разумеется,
мала. Если же неотфильтрованный выход только часть н изкочастотн ой области
регистра сдвига рассматривать как аналого­ спектра. Оказывается, что удельную мощ­
вый сигнал (принимающий только два зна­ ность шума на герц несложно выразить
чения +а и - а), то нормализованная авто­ через половинную амплитуду а и такто­
корреляционная функция будет, как пока­ вую частоту Мощность, выраженная
зано на рис. 9.87, непрерывной. Другими через среднеквадратичное напряж ение
словами, при сдвигах более чем на один такт шума, будет иметь вид
вправо и влево корреляция между значени­
ями сигнала полностью отсутствует. ( л \ |/2
Энергетический спектр неотфильтро-
ванного сигнала на выходе регистра сдвига
можно получить по автокорреляционной V * °-2/ такт).
Это относится к нижней части спектра,
т. е. к той части, которая обычно и с­
пользуется (для того чтобы определить
плотность мощности в любой части спек­
тра, можно использовать огибающую).
Предположим, например, что регистр
сдвига м аксим альной длины работает
на частоте 1,0 МГц и организован таким
образом, что выходное напряжение изме­
няется от +10,0 до —10,0 В. Выходной
сигнал пропускается через ЛС-фильтр
нижних частот с затуханием 3 дБ на час­
огибающая тоте 1 кГц (рис. 9.89). М ож но точно
Рис. 9.88. Э нергетический сп ектр неотф и льтрован - вычислить среднеквадратичное напряже­
ного сигнала н а выходе регистра сдвига. ние шума на выходе. Из предыдущего
Сопряжение цифровых и аналоговых сигналов 689

+ 10,0

Рис. 9.89. П ростой и сточн ик псевдослучайного шума.

выражения мы знаем, что среднеквадра­ Цифровая фильтрация. Недостаток ана­


тичное напряжение на выходе преобра­ логовой фильтрации заключается в том,
зователя уровней равно 14,14 м В /Г ц |/2. что если тактовая частота изменяется в
Из разд. 7.21 мы знаем также, что полоса несколько раз, то требуется подстройка
ш ума Н Ч -ф и л ь т р а с о с т а в л я е т (л /2 ) частоты среза фильтра. В тех случаях, где
(1,0 кГц) или 1,57 кГц. Поэтому выходное это необходимо, изящное решение дает
напряжение шума будет равно U кв = ц и ф р о в ая ф и л ь тр а ц и я , к о то р ая о су ­
= 0,01414 (1570)'/2 = 560 мВ, а его спектр ществляется с помощью взвешенной ана­
будет соответствовать низкочастотному логовой суммы последовательных выход­
ЛС-фильтру. ных разрядов (нерекурсивная цифровая
фильтрация). С ее помощью эффектив­
9.36. Низкочастотная фильтрация ная частота среза подстраивается под из­
менение тактовой частоты. Кроме того,
Аналоговая фильтрация. Полезный спектр цифровая фильтрация позволяет спустить­
шума, создаваемого генератором псевдо­ ся до предельно низких частот среза (доли
случайной последовательности, простира­ герца), где аналоговая фильтрация стано­
ется от низкочастотной границы, обрат­ вится практически беспомощной.
ной периоду повторения (/такт/К ), до вы­ Для того чтобы осуществить взвешен­
сокочастотной границы, равной примерно ное сум м ировани е од новрем ен но н е­
20% от тактовой частоты (на этой частоте скольких последовательных выходных раз­
мощность шума на герц падает на 0,6 дБ). рядов, можно просто воспользоваться раз-
Простая низкочастотная фильтрация с л и ч н ы м и п а р а л л е л ь н ы м и вы ход ам и
использованием ЛС-звеньев, как показано разрядов регистра сдвига и использовать
в предыдущем примере, равнозначна ус­ резисторы различного ном инала, под­
тановке точки 3 дБ намного ниже тактовой ключенные к суммирующей точке опера­
частоты (например, ниже 1% / так1). Для ционного усилителя. Для Н Ч -ф ильтра
того чтобы использовать часть спектра весовые коэффициенты должны быть про­
более близкую к тактовой частоте, жела­ порциональны (sin х)/х; обратите внима­
тельно применить фильтры с более кру­ ние, поскольку весовые коэффициенты
тым срезом, например, фильтры Баттер­ могут быть обоих знаков, потребуется ин­
ворта или Чебышева. В этом случае плос­ вертирование некоторых уровней. Так как
кость результирую щего спектра будет в этой схеме не используются конденса­
определяться параметрами фильтра, ко­ торы, выходной сигнал будет состоять из
торые должны быть измерены, поскольку набора дискретных уровней выходного
отклонения в параметрах могут вызывать напряжения.
колебания коэффициента передачи в по­ Используя весовую функция для большо­
лосе пропускания. С другой стороны, если го числа разрядов последовательности, мож­
требуется точное значение напряжения но улучшить приближение к гауссову шуму.
шума на Гц-|/2, то необходимо измерить Более того, в этом случае аналоговый вы­
реальный коэффициент передачи фильтра ходной сигнал становится фактически не­
по напряжению. прерывным сигналом. По этим причинам
690 Глава 9

Рис. 9.90. Л абораторны й генератор ш ума с ш и роки м частотн ы м диап азоном .

желательно использовать как можно боль­ ных цифровых уровней напряжения сле­
ше выходов регистра сдвига, используя в дует использовать резисторную «подвес­
случае необходимости дополнительные сту­ ку» к питанию или КМ ОП-клю чи (для
пени регистра сдвига, включенные в обрат­ этих целей КМ ОП-логика является иде­
ную связь с вентилем ИСКЛЮЧАЮЩЕЕ альным решением, поскольку выходы при
ИЛИ. Как и ранее, для задания стабиль­ этом точно соответствуют Ucc и земле).
Сопряжение цифровых и аналоговых сигналов 691
3,24 кОм
1% 100 пФ 0,0 В (ср.)
2,0 В (эф ф .)
8,68 В (м акс.)

От 0 - 5 0 кГц 24
до диапазон
0 -0 ,0 0 6 Гц
Выходной
аналоговый
шум 1В (эф ф .) при
нагрузке 50 Ом

zFbftR"
Дискретны й выход
шума

130,0 кОм 1%
Рис. 9.90. П родолж ен и е.

Схема, показанная на рис. 9.90, гене­ на 24-разрядный программируемый дели­


рирует псевдослучайный аналоговый шум, тель 14536, который формирует тактовую
полосу которого можно менять с исполь­ частоту от 1,0 МГц до 0,12 Гц с коэф ф и­
зованием рассмотренного способа в ог­ циентом деления кратным 2. 32-разряд-
ромном диапазоне. Сигнал кварцевого ный регистр сдвига охвачен обратной свя­
генератора с частотой 2,0 МГц поступает зью от 31- и 18-го разрядов и генерирует
692 Глава 9

последовательность максимальной длины пользуемым для формирования выходных


с миллиардом состояний (на максималь­ сигналов с нулевой постоянной состав­
ной так то во й частоте п олн ы й ц икл ляющей из уровней КМ ОП со средним
регистра проходит за полчаса). Здесь значением + 6,0 В (н и зки й уровень —
мы использовали взвешенное суммиро­ 0 В, высокий — +12 В).
вание с функцией (sin х ) /х на 32 после­ Описанный метод цифровой низкочас­
довательных разрядах последовательно­ тотной фильтрации последовательностей
сти. Элементы У, и Y2 усиливают и н ­ м аксим альной длины используется во
вертированны е и н еи нвертированны е многих коммерческих генераторах шума.
выходы соответственно и запускают диф ­
ференциальный усилитель Yy К оэф ф и ­
циенты усиления выбираются таким об­ 9.37. Краткое заключение
разом, чтобы сформировать на 50-омной
нагрузке напряжение 1,0 В ср. кв. без Несколько заключительных зам ечаний
постоянной составляющей (2,0 В ср. кв. относительно последовательностей, гене­
на холостом ходу). Обратите внимание на рируемых регистрами сдвига, как источ­
то, что эта амплитуда шума не зависит ников аналогового шума. На основании
от тактовой частоты, т. е. от общей по­ трех перечисленных ранее свойств ре­
лосы. Такой ц иф ровой ф ильтр имеет гистров максимальной длины вы, возмож­
частоту среза на 0,05/такт и ф орм и ру­ но, склонны заключить, что выходная
ет спектр белого шума от полосы посто­ последовательность «чересчур случайна» в
янный ток + 50 кГц (максимальная так­ том смысле, что она имеет точное число
товая частота) до полосы постоянны й серий заданной длины и т. п. Н астоя­
ток н- 0,006 Гц (минимальная тактовая щая случайная машина для подбрасыва­
частота) на 24 диапазонах. Схема выра­ ния монеты не генерировала бы на еди­
батывает выходной сигнал от + 1,0 до ницу больше «орлов», чем «решек», а ее
-1 ,0 В. автокорреляционная функция не была бы
Относительно этой схемы можно сде­ абсолютно плоской для конечной после­
лать несколько важных замечаний. Обра­ довательности. Посмотрите на это с дру­
тите внимание на то, что в этой схеме в гой стороны. Если бы вы использовали
обратной связи используется инвертиру­ единицы и нули, вырабатываемые реги­
ющий вентиль ИСКЛЮ ЧАЮ Щ ЕЕ ИЛИ, стром сдвига, для управления случайным
поэтому инициализацию регистра можно блужданием, двигаясь вперед на один шаг
осуществить простым обнулением. И с­ при получении единицы и назад на один
пользование инверсии входных последо­ шаг при получении нуля, то оказались
вательных сигналов исключает состояние бы на расстоянии ровно в один шаг от
«все единицы» (а не «все нули» как при начальной точки после того, как регистр
использовании обычного вентиля И С ­ пройдет весь цикл. Этот результат уж
КЛЮ ЧАЮ Щ ЕЕ И Л И ), но все другие никак нельзя назвать случайным!
свойства остаются в силе. Вместе с тем упомянутые свойства ре­
Взвешенное суммирование конечного гистра сдвига верны только для всей пос­
числа битов не может сформировать на­ ледовательности из 2" — 1 бит, взятой
стоящий гауссов шум из-за ограничения как одно целое. Если вы используете фраг­
по амплитуде пика. Можно показать, что мент полной битовой последовательно­
выходная амплитуда пика (на 50 Ом) со­ сти, то его свойства будут довольно точ­
ставляет ±4,34 В, что дает коэффициент но аппроксимировать случайный автомат
формы 4,34. Эти цифры имеют важное для подбрасывания монеты. Представьте
значение, поскольку, для того чтобы ис­ себе аналогичный процесс - извлечение
ключить эффект ограничения, коэффици­ красных и синих шаров наугад из урны,
ент усиления Y] необходимо с помощью в которую вначале помещены К шаров
Y2 поддерживать достаточно низким. Вни­ (половина красны х, половина синих).
мательно приглядитесь к методам, ис­ Если вы вытаскиваете шары без возвраще­
Сопряжение цифровых и аналоговых сигналов 693

ния, го сначала вы рассчитываете полу­ порядка т/2), тем «лучше» шумовые свой­
чить почти случайную статистику. По ства (при использовании для формиро­
мере убывания шаров в урне статистика вания последовательных входов дерева
изменяется за счет того, что общее число четности на элементах ИСКЛЮЧАЮЩЕЕ
красных и синих шаров должно оставать­ ИЛИ).
ся тем же самым. Те, кто проектирует генераторы шумов,
Представление о том, как это происхо­ должны познакомиться со сдвиговым ре­
дит, можно получить, вновь вернувшись гистром переменной длины в КМ ОП-ло-
к случайному блужданию. Если предпо­ гике 4557 (от 1 до 64 разрядов); конечно,
ложить, что единственным «неслучайным» вы должны использовать его в сочетании
свойством последовательности является с регистром с параллельны м выходом
точное равенство «1» и «О» (не обращая (типа ’4015 или ’164) для того, чтобы обес­
внимания на одну лишнюю «1»), то мож­ печить п отводов.
но показать, что описанное случайное В разд. 7.20 обсуждается вопрос о шу­
блуждание после г вытаскиваний из об­ мах и приводится пример генератора «ро­
щей «популяции» К/2 единиц и К/2 нулей зового» шума на ИМ С регистра макси­
приведет к среднему расстоянию от на­ мальной длины ММ5437.
чальной точки, равному
X=[r(K-r)/(K-l)Y'\ 9.38. Цифровые фильтры

(Этим выражением мы обязаны Е. М. Pur­ Последний пример затронул интересную


cell.) Поскольку при полностью случай­ тему цифровой фильтрации, в данном
ном блуждании X равно корню квадрат­ случае формирование НЧ-сигнала путем
ному из г, коэффициент (К - г)/ (К - 1) взвешенного суммирования 32 значений
отражает влияние конечных содержимых псевдослучайной п оследовательности,
урны. Пока г <К К, случайность блужда­ каждое из которых соответствует уровню
ния чуть-чуть отличается от случая абсо­ напряжения 0 или +12 В. На вход такого
лютно случайного блуждания, и генера­ «фильтра» поступают сигналы, которые
тор псевдослучайной последовательности могут иметь только два уровня напря­
практически неотличим от реального ав­ жения. Вообще говоря, то же самое мож­
томата. Мы проверили это на несколь­ но сделать с аналоговым сигналом на вхо­
ких тысячах случайных блужданий под де, образуя взвешенную сумму его значе­
управлением ПСП (каждое в несколько ний (х), распределенных во времени через
тысяч шагов) и обнаружили идеальную равные интервалы
случайность по этому простому крите­
рию.
Тот факт, что генераторы ПСП выдер­ к = X лл - х -
А = -х
живают этот тест, разумеется, не гаранти­
рует, что они будут удовлетворять и более Здесь х являются дискретными выбор­
сложным тестам на случайность, напри­ ками из входного сигнала, hk — весовые
мер, тестам на корреляцию более высо­ коэффициенты, а у( — значения выход­
кого порядка. Такие корреляционные за­ ного сигнала. В реальных условиях циф­
висимости также оказывают влияние на ровой фильтр будет суммировать только
свойства аналогового шума, генерируе­ конечное множество входных значений,
мого путем фильтрации ПСП. Несмотря как, например, в генераторе шума, где
на то что амплитуда шума имеет гауссово было использовано 32 члена. На рис. 9.91
распределение, возможно наличие корре­ схематично показано, как это происходит.
ляции амплитуд более высокого порядка, Заметьте, что такой фильтр может об­
не свойственной настоящему случайному ладать интересным свойством симметрич­
шуму. По этому поводу сейчас принято ности во времени, т. е. усреднением про­
считать, что чем больше отводов участ­ шлого и будущего для того, чтобы сфор­
вует в обратной связи (предпочтительно м и ровать текущ ее зн ач ен и е выхода.
694 Глава 9

Направление движения д а н н ы х ------- ►

- Будущее Настоящее Прошлое

Рис. 9.91. Н ерекурсивны й ци ф ровой ф ильтр.

Разумеется, реальные аналоговые фильт­ (или с бесконечной импульсной харак­


ры умеют лишь смотреть в прошлое и со­ теристикой) в противоположность рас­
ответствуют цифровым фильтрам с нену­ с м о тр ен н о м у вы ш е н е р ек у р си в н о м у
левыми весовыми коэффициентами толь­ (с конечной импульсной характеристи­
ко при к > 0. кой) фильтру. Можно, например, сф ор­
Частотная характеристика симметрич­ мировать выходной сигнал в соответствии
ного фильтра. Можно показать, что час­ со следующим выражением:
тотная характеристика симметричного
У, = Ау,_, +( 1 - Л)хг
фильтра (hk = h_k) имеет вид
Это соответствует низкочастотной ха­
х
рактеристике, эквивалентной той, кото­
H(f) = Л0 + 2 X hk cos 2nkft отс, рой обладает простой низкочастотный RC-
к= I
фильтр
где /отс — время между выборками (от­ Д = ! RC ^
счетам и). Н етрудно зам ети ть, что hk
п р ед ставл яю т со б о й к о э ф ф и ц и е н т ы где /отс - интервал времени между после­
разложения в ряд Фурье требуемой час­ довательными выборками х. из входного
тотной характеристики. Это объясняет, сигнала. Эта ситуация, конечно, не яв­
почему в случае представленной ранее ляется идентичной ситуации с аналоговым
схемы генератора весовые коэф ф ициен­ низкочастотным фильтром, работающим
ты были выбраны в соответствии с фун­ с аналоговым сигналом, по причине дис­
кцией (siruc)/x они являются ком понен­ кретной природы отсчетов.
тами Фурье заграждающего НЧ-фильтра. Пример НЧ-фильтра. В качестве число­
В таких симметричных фильтрах ф азо­ вого примера предположим, что вам тре­
вый сдвиг на любой частоте либо равен буется отфильтровать ряд числовых зна­
0, либо 180°. чений, соответствующих сигналу с зату­
Рекурсивные фильтры. Можно получить ханием 3 дБ на частоте f 3 дБ = 1/20?отс.
интересный класс цифровых фильтров, Таким образом, постоянная времени со­
если на вход фильтра в дополнение к ответствует интервалу времени 20 после­
внешнему входному сигналу подать соб­ довательных отсчетов. В этом случае А =
ственный выходной сигнал фильтра. Та­ 0,95123, а выходной сигнал определяется
кой фильтр можно рассматривать как по выражению y t = 0,9512 3 ^ х + 0,04877 х ..
фильтр с обратной связью. Он имеет при­ С у в ели чен и ем п о сто я н н о й врем ени
чудливое название рекурсивный фильтр относительно времени между отсчетами
Сопряжение цифровых и аналоговых сигналов 695

курсивному фильтру при


У, = С2(С1+ C2)yi_ + C l(Cl + С2)х.
П риравнивая эти коэф ф ициенты к за­
данному ранее значению А, получим
/ 3дБ = (1/2р Vm (C, + C2)/C2.

Рис. 9.92. Рекурсивный ф ильтр на коммутируемых Упражнение 9.8. П о каж и те, что этот результат
конденсаторах. правилен.

Этот фильтр практичен во всех отноше­


?отс приближение к реальному НЧ-фильт- ниях и обладает одной привлекательной
ру улучшается. особенностью —электронной настройкой
Для обработки данных уже представлен­ п о с р е д с т в о м т а к т о в о й ч асто ты / отс.
ных в виде дискретных отсчетов, как, на­ В обычных схемах используются КМ ОП-
пример, массив данных в компьютере, вы, ключи, а емкость С, берется много боль­
возможно, предпочтете использовать та­ ше С2. П оэтому сигнал переклю чения
кой фильтр. Рекурсивный фильтр при должен быть несимметричным и большую
этом будет реализовываться с помощью часть времени тратить на замыкание £ .
однократного прохода по данным с три­ Приведенная схема представляет собой
виальной арифметической обработкой. простой пример фильтра с коммутацией;
Программа НЧ-фильтра на языке Форт­ в этот класс фильтров входят фильтры,
ран будет выглядеть следующим образом: выполненные на матрицах коммутируе­
А = ехр(—TS/TC) мых конденсаторов. Они имеют перио­
дическую частотную характеристику, что
5 = 1. - А
делает их удобными для использования в
DO 1 0 /= 2 N качестве гребенчатых и узкополосных ре-
10Д /) —А*Х(1 — 1) + В * Х ( 1 ) , жекторных фильтров.
Для всех фильтров классического типа
где X — матрица данных, TS - интервал (Баттерворта, Чебышева и т. п.) можно
времени между отсчетами (т. е. TS = построить их приближенные дискретные
а ТС — выбранная постоянная времени аналоги в форме ВЧ, НЧ, полосовых и
фильтра. Эта маленькая программа осу­ заграждающих фильтров, как симметрич­
ществляет фильтрацию на месте, т. е. ных во времени, так и с истинным вре­
она зам еняет первоначальны е данны е менем зап азд ы ван и я. Т акие ф ильтры
отфильтрованными. М ожно, конечно, очень удобны при обработке квантован­
создавать из отфильтрованных данных от­ ных данных, перед которыми, безуслов­
дельный массив. но, большое будущее.
НЧ-фильтр с коммутацией. Такой же В настоящее время выпускают большое
фильтр можно построить аппаратным пу­ число недорогих ИМС фильтров с ком­
тем, используя схему, показанную на рис. мутируемыми конденсаторами. ИМС MF4
9.92. Ключи на полевых транзисторах 5, ф ирм ы N a tio n al, н ап р и м ер , явл яется
и S2 коммутируются с некоторой тактовой 4-звенны м Н Ч -ф ильтром Баттерворта,
частотой, периодически заряжая конден­ размещенным в корпусе мини-D IP; он не
сатор С, до входного напряжения и затем требует внешних компонентов и работает
передавая его заряд на конденсатор С2. от одного источника питания с напря­
Если С2 имеет напряжение U2, а С, заря­ жением от +5 до +14 В. Частота среза
жается до входного уровня Uv то при фильтра (0,1 Гц мин. и 20 кГЦ макс.)
подключении С, к С2 напряжение на обоих устанавливается с помощью внешней так­
конденсаторах будет определяться соотно­ товой частоты / акт = 100/1лБ. ИМ С MF5 и
шением U = (СlUl + С2U2) / ( С, + С2), что MF10 («универсальные фильтры с ком­
эквивалентно рассмотренному ранее ре­ мутируемыми конденсаторами») работа-
696 Глава 9

ют несколько иначе. С помощью внешних


Выход
резисторов устанавливаются: тип фильтра
(ВЧ, НЧ, полосовой, заграждающий) и
характеристика фильтра (Баттерворта,
Чебышева и т. п.), а с помощью тактовой
частоты, как и раньше, устанавливается
частота среза. Другими изготовителями
фильтров с коммутируемыми конденсато­
рами являются фирмы American Micro­
systems (AMI), Linear Technology (LTC)
и Reticon. Фирма LTC, как всегда, ис­
пользовала несколько хитроумных при­
емов для улучшения технических харак­
теристик. Ее фильтры LTC1062 и МАХ280
похожи на MF4, но являются 5-звенны­
ми и имеют нулевую ошибку на постоян­
ном токе! Последнее свойство реализова­
но путем размещения фильтра вне пути
постоянного тока (рис. 9.94). Гибкие
фильтры серии МАХ260 допускают управ­ Рис. 9.93. Цифровой генератор синусоидальных
ление важными параметрами фильтра от сигналов.
микропроцессора.

с малым сдвигом по постоянному току.


Сопряжение цифровых и аналоговых сигналов 697

Вообще говоря, такие фильтры с ком­ Подавая на вход инвертированный сигнал


мутируемыми конденсаторами работают с последнего разряда, можно организо­
только на верхнем конце звукового диапа­ вать счетчик Джонсона, который будет
зона. В них также наблюдается сущест­ проходить через 16 состояний (в общем
венное проникновение тактовой частоты случае 2п состояний для «-разрядного ре­
на выход обычно в пределах 10—25 мВ. гистра сдвига). Начиная с состояния «все
Это ограничивает их динамический диа­ нули», происходит заполнение счетчика
пазон в тех применениях, где тактовая слева направо «1» (марш «1») до полного
частота находится внутри полосы пропу­ заполнения всеми единицами, затем на­
скания (например ВЧ-фильтры). Они мо­ чинается марш «0» и т. д. Показанное на
гут иметь значительный шум, ограничи­ рисунке взвешивание формирует 8-уров-
вающий динамический диапазон до 80 дБ невое приближение к синусоидальному
и менее (сравните со 140 дБ и выше для колебанию с частотой, равной 1/16 такто­
хорошего операционного усилителя). С дру­ вой частоты, и с первым ненулевым чле­
гой (положительной) стороны, фильтры на ном искажения на 15-й гармонике, име­
коммутируемых конденсаторах просты в ющей затухание 24 дБ.
применении и позволяют осуществлять эф­
фективную настройку (с помощью такто­
вой частоты). Фильтры такого типа широ­ СХЕМЫ, НЕ ТРЕБУЮЩИЕ
ко используют в модемах (при передаче дан­ ПОЯСНЕНИЙ
ных по телефонным линиям) и в других
областях связи. См. разд. 5.11. 9.39. Удачные схемы
Формирование синусоидальных колеба­
ний цифровым способом. С нерекурсивной На рис. 9.95 приведено несколько при­
цифровой фильтрацией связан интерес­ меров сопряжения логических и линей­
ный способ синтеза синусоидальных ко­ ных схем.
лебаний с использованием взвешенных
сумм сигналов с выходов счетчика Джон­ 9.40. Негодные схемы
сона (кольцевой счетчик с коэффициентом
пересчета, вдвое превыш ающим число На рис. 9.96 показаны схемы с основ­
разрядов). Схема на рис. 9.93 показывает ными грубыми ошибками сопряжения.
способ реализации такого генератора. Для каждой схемы попытайтесь объяс­
ИМС 4015 представляет собой 8-разрядный нить, в чем состоит ошибка и как ее уст­
регистр сдвига с параллельным выходом. ранить.

УДАЧНЫЕ СХЕМЫ

+5
Л Л огика ТТЛ
1 кОм _| \
J & J f — ___ /
Логика МОП ____ J T 2N 4 85 9

nr 4-
-Um

Рис. 9.95. а — преобразователь отрицательны х уровней в уровни ТТЛ ; б — управление нагрузкой; подклю ­
ченной н а землю;
698 Глава 9

3 пФ

Инверсные
входы

Входы выбора г"


канала (ТТЛ) -I
Отпирающий вход (ТТЛ)

Прямые
входы

+5

циклом

Рис. 9.95. П родолж ен и е.


в - ам плитудны й ди скри м и натор; г — схем а уп равлен ия солен ои дом ; д — 8 -к ан ал ь н ы й м ультиплексор
с дифференциальным входом; е - фазовый детектор с подавлением 60 дБ; ж — прецизионны й триггер Ш митта.
Сопряжение цифровых и аналоговых сигналов 699

НЕГОДНЫЕ СХЕМЫ

J l , 0 кОм

Ш 0,001 д . утл
О --
Вы ход

+15 (или + 5 ) '


К другим
вентилям Вход

- 1 5 (или Земля)

+15

+1 5
Л

Рис. 9.96. а - формирователь задержанного фронта; г — индикатор логического состояния; в — сопряжение


элемента ТТЛ с высокоомным выходом (две неудачные схемы); г — повыш ение нагрузочной способности элемен­
та Т Т Л с п о м о щ ь ю п о в т о р и т е л я ; д — п р о в о д н о е И Л И н а в е н т и л я х с а к т и в н о й н а г р у з к о й ;
е —счетчик пересечений нуля; ж — ftS -триггер; з —сопряжение элемента ТТЛ со схемами высоких уровней.
700 Глава 9

Калькулятор
(МЗР) . А
В Двоично-
С десятичный
(СЗР) D символ

Интерфейс
Адрес V "

{ символаГ
пользователя
координат­
ный само­
писец

цифра х
Уу ■О цифра у
}■ от - 5 В до 5В
(уровень О В
выводит самописец
на середину
Импульс 1мке бумаги)
с уровнем ТТЛ \
Данные я*.
действительны ■{ все данные
действительны
Fh

Л Символ
*Дешифрация
адреса 0 0 МЗЦ
символа: 1 0 4.
0 1 СЗЦ

Рис. 9.97.

ДОПОЛНИТЕЛЬНЫ Е УПРАЖ НЕНИЯ числа представляются по одному в единицу времени


(«бит-параллельно, символ-последовательно») в сопро­
(1) П остройте схему для обн аруж ен и я кратковре­ вож дении 2-разрядного адреса. И мпульс С И М В О Л
м ен н о го п р о п ад ан и я н ап р я ж е н и я п и тан и я + 5 В. В Е РЕН показывает, что данны е и адрес правильны и
Схема долж на иметь кн оп ку С Б Р О С и светодиод для их м ож н о, н ап р и м ер , заф и к си р о в ат ь. У ровен ь
и ндикации Н Е П Р Е Р Ы В Н О Г О П И Т А Н И Я . С делай­ x j y с о о б щ ает о том , к ак о м у чи сл у п р и н ад л еж и т
те так, чтобы о н а работала от н апряж ен ия +5 В. выводимый символ (х или у). Эго показано н а рис.
(2) Почему нельзя построить 2я-разрядны й ЦАП 9.97.
с помощью двух «-разрядных ЦАП и пропорциональ­ Д анны е передаются в следующем порядке: хп (М ЗЦ )
ного суммирования их выходов (ВЫХ, + ВЫХ 2/2 П)? ... хя(С З Ц ), у Д М З Ц ) ... y j С З Ц ); так и м о б р азо м ,
(3) Убедитесь в том, что м аксим альное зн ачен ие после п оступления С З Ц вели чи ны у (Л, = 0, А 2 = 1,
сигнала на выходе псевдослучайного генератора шума х '/у = 1) известно, что вы получили всю пару х, у.
на рис. 9.90 равно + 8 ,6 8 В. В этот м ом ен т вы долж ны о бн ови ть ц и ф ры , посту­
(4) Э ксперимент осущ ествляется под управлени­ п аю щ ие на ЦАП (не обн овляйте их п о одной).
ем программируемого вычислителя, соединенного с Нет необходим ости п ри сваи вать И М С отдельны е
различны м и и сп олн и тельн ы м и и и зм ери тельн ы м и н ом ера; дай те им общ ее н аи м ен о ван и е, н ап ри м ер,
приборами. Вычислитель дает приращ ение различ­ D -три ггер и л и д еш и ф р ато р «1 и з 10». У каж ите, в
ным переменным, находящ имся под его управлени­ к ак и х м естах входы и л и вы ходы и н в ер ти р у ю тся
ем (наприм ер, длине волны излучения, поступаю ­ (с п о м ощ ью м ал ен ьких круж очков). С ч и тайте, что
щего от монохроматора), и обрабатывает результаты в в аш ем р а с п о р я ж е н и и и м ею тс я Ц А П , к о то р ы е
соответствующих изм ерений (например, количество в о с п р и н и м а ю т 3 -р а зр я д н ы е д в о и ч н о -д е с я т и ч н ы е
переданного света, скорректированное с учетом и з­ си м вол ы с л о ги ч ески м и у ровн ям и и обладаю т т о ­
вестной чувствительности детектора). В результате ковы м и вы ходам и от 0 до 1 мА, соответствую щ ие
образуются пары значений х, у. Задача состоит входны м кодам от 000 до 999. П о ско л ьку д вухко­
в том, чтобы спроектировать схему для вы черчива­ ор д и н атн ы й граф оп остроител ь им еет 10 -вольтовую
ния графика на аналоговом графопостроителе. п о л н у ю ш кал у, вам п р и д етс я п р е о б р а з о в а т ь то к
Выходы вычислителя для каждой пары значений в н ап р яж ен и е. П роверьте свою изобретательность,
представляют собой два 3-разрядных (двоично-деся- введя доп ол н и тел ьн о е услож н ен и е: предп олож и те,
тичных) символа. Для уменьшения количества связей что разм ах вы ходного си гн ал а Ц А П всего 1 В.
ПРЕДМЕТНО-ИМЕННОЙ УКАЗАТЕЛЬ

Акселерометр 683 — варактор 315


Активный ф ильтр 2 7 8 -3 0 0 — Зенера см . С табилитрон
- Баттерворта 284 — ограни ч ен н ы й 56—59
-б и к в а д р а т н ы й 293 Д и ф ф ерен ц и атор 239
- Бесселя 286 Д ребезг кон тактов 530
- гиратор 296 Д россель ВЧ 35
- И Н У Н 289
- Чебы ш ева 283 Е мкость нагрузочная 439, 627
АЛУ522 — перехода 112
Аналоговые ключи 133, 151
А налогоциф ровой преобразователь 640, 648—659 Захват ф азы 479
— выбор 660 Защ и та нагрузки по нап ряж ен и ю 32 9 -3 4 0
Анодная вольтметрия 697
Аттенюатор 26 И зм ерен и я 473
И скаж ен и я н ели н ей н ы е 101, 434
Байт 499 И склю чен ны е (зап рещ ен н ы е) состоян и я 537
Блокировочны й конденсатор 44 И сточ н и к (генератор) тока 79
Боде диаграммы 260, 265 - н а П Т 132
Буферы 439 — трехвы водной стабилизатор 400

Вентили логические 501 К абельны е связи 630


— И 502 Карно карта 516
— ИЛ И 502 К аскодн ая схем а 112
— И -Н Е , И Л И - Н Е 503 Класс А (усилителей) 99
— исклю чаю щ ее И Л И 503 - А В 102
— каталог 507 - В 101
— обозначения 506 Клю чи на П Т 149
— откры ты й коллектор 511 К М О П , входные характеристики 616
— передаю щ ие 519 — вы ходны е характеристики 595
— проводное И Л И 514 — элем ен ты 615
— схемы 509 — и нвертор 162
- с трем я состоян и ям и 511 — клю чи 161
ВМ ОП 167 — л и н ей н ы й усилитель 165
Всплеск 539 — логически е уровни 497
Выпрямитель м остовой 539 — недостатки 578
— сопряж ен и е с ТТЛ 590, 597
«Гасящий» резистор 348 Код Г р е я -501
Генераторы 300 — д вои ч н о-десяти ч н ы й 499
Гиратор 296 — двои ч н ы й 500
— дополн ительн ы й 500
Дарлингтона схема 103 — п рям о й 500
Д емультиплексор 520 — см ещ ен н ы й 500
Детектор 231, 561-571 Колпитца генератор 315
- ф азовы й 672 К ом би н ац и он н ы е логи ч ески е схемы 5 1 5 -5 2 9
Д еш иф ратор 520 К ом м утац ион н ы й ф ильтр 695
- таблица истинности 524 К ом паратор 245, 605
Диод 50-61 — чисел 523
702 Предметно-именной указатель

Конденсатор 27 С истем а си н хрон изац и и 534—540


- балластны й 46 С ледящ ая связь 105, 190
- блокировочны й 44 С оставной транзистор см . Дарлингт она схема
- накопитель энергии 49 С табилизатор нап ряж ен и я 34, 75, 401
- полное сопротивление 36 - т о к а ди одны й 136
- ток утечки (ком п ен сац и я) 416 С таби ли трон 20, 349
- фильтрую щ ий 49, 347 Сум м атор 522
- эф ф ект зап ом и н ан и я 416 С четчик 535, 548
К оррекция (ком п ен сац и я) частотная 260, 4 2 9 -
437 Т абли ца истин н ости 501, 524
Коррелятор 523 Т актовы е сигналы входные 531
КО С С 109, 191 — реального врем ени 507
Кулонометр 59, 667 — скос ф рон тов 576
Т еплоотвод 329
Л огические состояния 496 Т ок, ограни чени е 327
- уровни 497 Т оковое зеркало 96, 241
Т ран зи сто р 6 8 -1 2 0
М ассив 519 Триггер 52 9 -5 3 8
Миллера эф ф ект 111-113 — Ш митта 246, 605
М иним изация 516 ТТЛ 510
Модуля схема выделения 296
М ОП 615 У гловая частота 22
Моргана теорема 506 У силитель буф ерны й 539
М ультивибратор м оностабильны й 540 — ди ф ф ерен ц и ал ьн ы й 545
М ультиплексор 151, 524, 698 — п ерем ен ного то к а 83—87, 185

О братная связь 248 Ф азовы равни ваю щ ая цеп ь 281


- устойчивость 260 Ф А П Ч (ф азовая автоп одстрой ка частоты ) 671—
О граничитель (ф иксатор) 57, 236 684
- тока 333 Ф и ксатор 547
О дновибратор 540 Ф ильтр ком м утацион н ы й 695
О перационны й усилитель 181-245, 412—444 — рекурсивны й 694
Опорны й и сточн ик с напряж ением
запрещ енной зоны 349 Х арактеристическое сопроти влени е 633
«Холодное» п ереклю чение (уп равлени е) 477
П ам ять 564
П ерем енны й ток, управление нагрузкой 614 Ц езиевы й стандарт частоты 320
П лавкий предохранитель 345 Ц и ф роан алоговы й преобразователь 6 4 2 -6 4 7
Плата макетная 628 — выход п о н ап ряж ен и ю 643
Полож ительная обратная связь 654 — с ум нож ением 646
Помехи импульсные («всплески») 540 — токовы е клю чи 643
Порты 400 Ц и ф ровая л оги ка 133
Последовательная ло ги ка 529—540
П реобразователь кодов 504, 520 Ш и н а 629
- напряж ения п остоянного тока 385 — адреса 637
- тока в напряж ение 190 — д ан н ы х 629
П робой база - эм иттер 75 — передаю щ ая 630
- диода 50 Ш и ф ратор 522
Ш ум 4 5 4 -4 7 9
Разъем 63 — ц иф ровая генерац ия 684
- B N C 63 Ш унт ем костн ы й 49
Регистр сдвига 550
Резервные батареи питания 56 Резисторы 10 Эберса—М а м а м одель 87
Э м иттерн ы й повторитель 72
Эрли эф ф ект 82, 89
ОГЛАВЛЕНИЕ

Предисловие. Перевод О. А. Соболевой ..................... 5 Д иэлектрическое поглощ ение ................................ 235


Предисловие к первому изданию . Работа оп ерац и он н ого усилителя
Перевод О. А. Соболевой .................................................... 6 с одним и сточником питания ........................ 240
К ом параторы и триггер Ш м итта ...........................245
ГЛАВА 1. О С Н О В Ы Э Л Е К Т Р О Н И К И О братная связь и усилители с конечны м
Перевод О. А. Соболевой ............................................. 8 усилением ................................................................ 248
Введение ..................................................................................8 Н екоторы е тип и чн ы е схемы с оп ерац и он н ы м и
Напряжение, ток и соп роти влени е................................. 8 усилителям и ...........................................................255
Сигналы .............................................................................22 Частотная коррекц и я усилителей с обратной
Конденсаторы и цепи п ерем енного т о к а ................27 связью ........................................................................ 260
Индуктивности и трансф орм аторы ...........................35 Схемы, не требую щ ие п ояснений ........................ 268
Полное и реактивное с о п р о т и в л е н и е ..................... 36 Д ополн и тельн ы е уп раж н ен и я ................................ 268
Диоды и диодны е схемы ................................................50
Другие пассивны е ком п онен ты ................................... 61 ГЛАВА 5. А К Т И В Н Ы Е Ф И Л Ь Т Р Ы И
Дополнительные упраж нения ...................................66 ГЕН ЕРА ТОРЫ
Перевод М.Н. М икш иса ......................................278
ГЛАВА 2. Т РА Н ЗИ С Т О Р Ы А ктивны е ф ильтры ......................................................278
Перевод О. А. Соболевой ........................................68 Схемы активны х ф и л ь т р о в ........................................ 288
Введение .............................................................................68 Генераторы ..................................................................... 300
Некоторые основны е транзисторны е Схемы, не требую щ ие поясн ени й ........................ 321
с х е м ы ..................... ....................................................... 70 Д ополн и тельн ы е уп раж н ен и я ................................ 323
Модель Эберса - М олла для основны х
транзисторных с х е м .................................................. 87 ГЛАВА 6 . С Т А Б И Л И ЗА Т О Р Ы Н А П Р Я Ж Е Н И Я
Некоторые типы усилительных к а с к а д о в ................99 И И СТО ЧН И КИ ПИТАНИЯ
Некоторые типичны е транзисторны е Перевод А Ж К о р о т о в а ........................................... 324
с х е м ы .......................................................................... 114 Базовы е схемы стабилизаторов на основе
Схемы, не требующие п ояснений ........................116 классической И М С 723 ...................................... 324
Дополнительные упраж нения ................................ 120 П роектирование теплоотвода мощ ных
с х е м .............................................................................329
ГЛАВА З.П О Л Е В Ы Е Т Р А Н З И С Т О Р Ы Н естаби лизирован ны е и сточники
Перевод Б.Н. Б р о н и н а ...........................................121 п и тан ия ..................................................................... 343
Введение .......................................................................... 121 И сточники опорного нап ряж ен и я ........................ 349
Основные схемы н а полевы х транзисторах . . . 132 Трехвы водны е и четы рехвы водны е
Ключи на полевых транзисторах ...........................149 стаб или заторы ........................................................ 360
Схемы, не требующ ие п о ясн ений ........................180 И сточн и ки п и тан ия спец и альн ого
н азн ач ен и я .............................................................. 389
ГЛАВА 4. О БРА ТН А Я С В Я ЗЬ И Схемы, не требую щ ие п оясн ени й ........................ 405
О П ЕРА Ц И О Н Н Ы Е УСИЛИТЕЛИ Д оп олн и тельн ы е уп раж н ени я ................................ 411
Перевод О.А. С о б о лево й........................................181
Введение .......................................................................... 181 ГЛАВА 7 П Р Е Ц И З И О Н Н Ы Е С Х Е М Ы
Основные схемы вклю чения операционны х И М А Л О Ш У М Я Щ А Я А ППАРАТУРА
у с и л и т е л е й ............................................................... 184 Перевод Б.Н. Бронина ........................................ 412
Калейдоскоп схем на операционны х Разработка п р ец и зи он н ой аппаратуры на
у с и л и т е л я х .................................................................. 190 оп ераци он ны х усилителях ................................ 412
Подробный анализ работы операционны х Д и ф ф ерен ц и ал ьн ы е и изм ерительны е
усилителей ................................................................ 196 усилители ................................................................ 445
Подробный анализ работы некоторы х схем на Ш ум ы усилителей ........................................................ 454
операционных усилителях ...................................227 И зм ерение ш ума и источники ш ума ...................473
704

Помехи: экранирование и заземление .................. 479 Схемы, не требующ ие пояснений ........................580


Схемы, не требующ ие п ояснений .......................... 489 Д ополнительны е упраж нения ................................ 583
Д ополнительные упраж нения .................................. 493
ГЛАВА 9. С О П Р Я Ж Е Н И Е Ц И Ф Р О В Ы Х
ГЛАВА 8. Ц И Ф Р О В Ы Е С Х Е М Ы И А Н А Л О ГО В Ы Х С И ГН А Л О В
Перевод Ю.В. Чечёткина ..................................... 495 Перевод Л . В. Поспелова ..................................... 590
Основные логические понятия ................................495 С оп ряж ени е логических К М О П -
Логические уровни ....................................................... 497 и Т Т Л - э л е м е н т о в ...................................................590
ТТЛ и КМ ОП .................................................................. 507 Ц иф ровы е сигналы и дли н ны е л и н и и ................626
К омбинационная логика ............................................. 515 А налогоциф ровое п р е о б р а з о в а н и е ........................ 640
Последовательностная логика .................................. 529 П рим еры А /Ц -п реоб разов ан и я ..............................664
М оностабильные мультивибраторы ........................540 Схемы ф азовой автоподстройки ч а с т о т ы ...........671
П оследовательностны е ф ун кции , П севдослучайны е двоичны е последователь­
реализуемые на стандартных И М С ................547 ности и генераторы ш ума ................................ 684
Некоторые типовы е циф ровы е схемы ...................567 Схемы, не требующ ие пояснений ........................697
Патология в логических схемах ................................574 Д ополнительны е упраж нения ................................ 700

Тираж 2000 экз. Заказ 3963.


Отпечатано в ОАО «Можайский полиграфический комбинат»
143200, г. Можайск, ул. Мира, 93
* www.oaompk.ru, www.OAOMnK-рф тел.: (49 5 ) 745-84-28, (49638) 20-685
Издательство БИНОМ, идя навстречу многочисленным пожеланиям читате­
лей, предлагает очередное издание книги П. Хоровица и У. Хилла «Искусство
схемотехники». Авторы - крупные специалисты в своей области, один из кото­
рых (П. Хоровиц) - профессор Гарвардского университета. Предыдущее трех­
томное издание, выпущенное более десяти лет назад на языке оригинала тира­
жом 50000 экземпляров, быстро разошлось по всему миру. В настоящую книгу
включены наиболее важные материалы трехтомника.
Неоднократное переиздание книги П. Хоровица и У. Хилла за рубежом показы­
вает, что представленные в ней темы отвечают уровню развития современных
технологий.
Заинтересованный читатель найдет в издании подробный и обстоятельный
анализ следующих вопросов:

• основы электроники;
• транзисторы;
• полевые транзисторы;
• обратная связь и операционные усилители;
• активные фильтры и генераторы;
• стабилизаторы напряжения и источники питания;
• прецизионные схемы и малошумящая аппаратура;
• цифровые схемы;
• сопряжение цифровых и аналоговых сигналов.

Вам также может понравиться