Вы находитесь на странице: 1из 42

МИНИСТЕРСТВО ОБРАЗОВАНИЯ РЕСПУБЛИКИ БЕЛАРУСЬ

УО «НАЦИОНАЛЬНЫЙ ДЕТСКИЙ ТЕХНОПАРК»


Образовательное направление “ЛАЗЕРНЫЕ ТЕХНОЛОГИИ”

Тема проекта:
«Клавиатура лазерная проекционная»
08.01.2024 – 31.01.2024 (1 смена)

Выполнили: Руководители:
Масюкевич Яна Горбач Дмитрий
Дмитриевна Владиславович
Учащаяся Гимназия №3 Фёдорцев Ростислав
г. Бобруйска Валерьевич
имени митрополита Филарета Есман Даниил Юрьевич
Стоянов Владислав
Сергеевич
Учащийся Гимназии №8 г. Витебска

Минск 2024
ОГЛАВЛЕНИЕ
ВВЕДЕНИЕ 2
ОБЩАЯ ХАРАКТЕРИСТИКА РАБОТЫ 4
ГЛАВА 1. ПРОЦЕСС ФОТОЛИТОГРАФИИ, ДЛЯ КОТОРОЙ В КАЧЕСТВЕ
ИСТОЧНИКА ИЗЛУЧЕНИЯ ИСПОЛЬЗУЮТСЯ ЭКСИМЕРНЫЕ ЛАЗЕРЫ 6
1.1 Этапы 6
1.1.1 Экспонирование и источники излучения 8
1.2 Фоторезист 11
1.3 Ограничения фотолитографии 12
2.1 Стандартная схема литографа на эксимерном лазере 14
2.1.1. Патенты установок для экспонирования эксимерными лазерами 16
2.2 Сравнение существующих установок, крупнейшие производители 19
ГЛАВА 3. СОБСТВЕННАЯ СТРУКТУРНО-ФУНКЦИОНАЛЬНАЯ СХЕМА
ЛИТОГРАФА 22
3.1 Выбор источника излучения 22
3.2 Иммерсионная фотолитография 24
3.3 Ограничения DUV фотолитографии 28
ГЛАВА 4. ФОТОЛИТОГРАФИЯ В ЖЕСТКОМ УЛЬТРАФИОЛЕТЕ, ЕЕ
ПРЕИМУЩЕСТВА, УСТАНОВКИ 30
4.1 Маска и мишень 30
4.2 Принципы работы установки для EUV фотолитографии 31
4.3 Выбор источника EUV-излучения и его особенности 34
4.4 Оптика для установок EUV фотолитографии 35
4.5 Перспективы развития фотолитографии в жестком ультрафиолете 36
4.6 Сравнение EUV фотолитографии и фотолитографии с источником
излучения 193 нм 38
ЗАКЛЮЧЕНИЕ 40
СПИСОК ИСПОЛЬЗОВАННЫХ ИСТОЧНИКОВ 41

2
ВВЕДЕНИЕ

Для производства процессоров, микросхем, печатных плат и других


видов микроэлектроники используется несколько видов литографии,
например:
1. Электронно-лучевая литография – литография, в процессе которой
электронный пучок, остросфокусированный с помощью магнитных
линз на поверхность слоя резиста, чувствительного к электронному
облучению, прорисовывает на нем скрытое изображение, которое
обнаруживается после обработки резиста в проявителе.
2. Ионно-лучевая литография - технология изготовления электронных
микросхем, использующая литографический процесс с
экспонированием (облучением) резиста ионными пучками
нанометрового сечения.
3. Иммерсионная литография – способ повышения разрешающей
способности за счёт заполнения воздушного промежутка между
последней линзой и плёнкой фоторезиста жидкостью с показателем
преломления более 1.
4. Фотолитография – метод, при котором резист засвечивается через
фотошаблон с заданным рисунком
Принципиальное отличие фотолитографии от других видов литографии
заключается в том, что экспонирование производится светом (видимым или
ультрафиолетовым), тогда как в других видах литографии для этого
используется рентгеновское излучение (рентгеновская литография), поток
электронов (электронно-лучевая литография) или ионов (ионно-лучевая
литография).

3
ОБЩАЯ ХАРАКТЕРИСТИКА РАБОТЫ

Актуальность: Большая часть научного мира работает в направлении


оптимизации и совместимости электронных устройств. В настоящее время
присутствует тенденция к минимизации размеров и разработке
максимальной производительности электроники. Процесс фотолитографии
постоянно развивается, что позволяет увеличивать количество транзисторов
на микросхемах и печатных платах. Важнейший этап фотолитографии –
экспонирование, которое развивается благодаря лазерным технологиям.
Сейчас весь мир работает над увеличением плотности транзисторов на
микросхемах, то есть над увеличением разрешения при экспонировании. В
ближайшем будущем крупнейшие компании по производству
полупроводниковых устройств хотят делать транзисторы размером 2 нм,
причем результаты разработок планируют представить уже в 2024 году.
В Беларуси полупроводниковые приборы изготавливают предприятия
ОАО «Планар» и ОАО «Интеграл», однако в качестве источника излучения
они используют лазеры с длиной волны не менее 193 нм, что не позволяет
создавать актуальные на сегодняшний день печатные платы, микросхемы и
другую микроэлектронику, а также выйти на мировой рынок производства.
Цель: упростить этап экспонирования в производстве
микроэлектроники, предложить свой вариант литографа.
Задачи:
• Провести анализ патентов и существующих установок для
экспонирования, выявить недостатки и найти их решения;
• Предложить свою схему установки;
• Выбрать источник лазерного излучения;
Провести сравнительную характеристику видов фотолитографии,
выявить самый востребованный и перспективный.
Гипотеза: обеспечение технического процесса 1-2 нм с
формированием топологии за счет комплексного подхода, включающего

4
применение EUV лазера, проекционного объектива сверхвысокого
разрешения и систему охлаждения, позиционирования и стабилизации
изображения на подложке.

5
ГЛАВА 1. ПРОЦЕСС ФОТОЛИТОГРАФИИ, ДЛЯ КОТОРОЙ
В КАЧЕСТВЕ ИСТОЧНИКА ИЗЛУЧЕНИЧ ИСПОЛЬЗУЮТЯ
ЭКСИМЕРНЫЕ ЛАЗЕРЫ

Фотолитография (Рисунок 1.1) – это технологический процесс,


основанный на использовании фотохимических явлений, которые
происходят в нанесенном на подложку слое фоторезиста при его обработке
ультрафиолетовым излучением через маску (фотошаблон) и последующей
операции формирования маски в слое фоторезиста, а также при травлении
технологического слоя через маску в фоторезисте [1].

Рисунок 1.1 – Классификация литографических процессов

1.1 Этапы
Ключевой технологией, обеспечивающей возможность изготовления и
развития микроэлектроники, является фотолитография. Фотолитография –
метод получения определённого рисунка на поверхности материала с

6
использованием фотошаблонов. Один из основных приёмов планарной
технологии, используемой в производстве полупроводниковых приборов [2].
Процесс фотолитографии состоит из семи основных этапов (Рисунок
1.3):
1) Очистка и подготовка поверхности
Подложка очищается от загрязнений в ультразвуковой ванне в
органических растворителях. В случае низкой адгезии (золото, серебро,
платина) наносится тонкий слой адгезива.
2) Нанесение фоторезиста
Этап может осуществляться несколькими методами:
• центрифугирование (Рисунок 1.2);
Центрифугирование в основном применяется для круглых подложек,
то есть пластин кремния и других полупроводников.

Рисунок 1.2 - Схема установки для нанесения слоя фоторезиста


центрифугированием:
1- дозатор (капельница); 2 - подложка; 3 - столик; 4 - кожух для сбора избытка ФР;
5 - вакуумные уплотнители; 6 - электродвигатель; 7 - трубопровод к
вакуумному насосу

• распыление (пульверизация);
Нанесение фоторезиста распылением позволяет получать широкий
интервал толщины слоев, причем подложка может иметь неплоскую
поверхность. Нанесение фоторезиста производится форсункой, в которой для

7
диспергирования струи раствора фоторезиста при выходе из сопла
используется сжатый воздух.
• электростатический метод;
• окунание;
• полив;
• накатка.
3) Предварительное запекание
4) Экспонирование – засветка фоторезиста через фотошаблон
5) Проявление
6) Обработка поверхности
7) Снятие фоторезиста

Рисунок 1.3 – Основные этапы фотолитографии

1.1.1 Экспонирование и источники излучения

Для экспонирования используются длины волн видимого и


ультрафиолетового диапазона. Для ультрафиолетового диапазона
используется ртутная лампа, а для видимого диапазона стандартны i-line (365
нм) и h-line (405 нм). Основная характеристика экспонирования –
разрешающая способность. Чем меньше длина волны, тем выше
разрешающая способность, то есть способность раздельно воспроизводить

8
элементы рисунка (Рисунок 1.4). Разрешающая способность оценивается по
минимальной ширине воспроизводимой линии, определяется способом
экспонирования резиста и длиной волны воздействующего на него
излучения. По мере увеличения требований к уровню разрешения
литографического процесса и развития лазерных технологий, длина волны
используемого излучения становится все меньше. Сейчас в качестве
источников излучения используются эксимерные лазеры в среднем (KrF 248
нм) и глубоком (ArF 193 нм) ультрафиолете. Такие длины волн позволяют
достичь разрешения около 100 нм, но благодаря специальным методам
(например, иммерсионная литография, множественное экспонирование)
разрешение можно увеличить в три раза.

Рисунок 1.4 – Зависимость разрешения от длины волны

Несмотря на все способы для увеличения разрешения, максимального


показателя можно достичь только благодаря излучению в жестком
ультрафиолете (длина волны 13,5 нм) [3].

9
Перед экспонированием подложку из кремния с нанесенным
фоторезистом совмещают с фотошаблоном (пластина из прозрачного
материала, на поверхности которой нанесен рисунок, состоящих из
прозрачных и непрозрачных для света определенной длины волны участков
на основе пленочного покрытия).
Этап экспонирования может осуществляться тремя методами (Рисунок
1.5):
1) Контактное экспонирование

Метод, при котором зазор между подложкой и фотошаблоном


полностью устраняется. Минусом является то, что из-за тесного контакта
неизбежны механические повреждения подложки и фотошаблона.
2) Экспонирование с микрозазором
Метод, при котором между подложкой и шаблоном имеется зазор.
Достигаемые минимальные размеры элемента при этом увеличиваются.
Зазор значительно уменьшает повреждения шаблона, но из-за этого свет
проникает в область тени, что приводит к искажению формы и размеров
элементов
3) Проекционное экспонирование
При этом методе шаблон расположен на значительном расстоянии от
подложки, то есть его износ полностью исключен. Такое экспонирование
может быть непрерывным и прерывистым (шаговым). Его преимущества –
малая чувствительность к неплоскости подложки, высокоразрешающая
способность (менее 1 мкм).

10
Рисунок 1.5 – Виды экспонирования. Справа налево – контактное, экспонирование с
микрозазором, проекционное экспонирование

Таким образом, лучшим вариантом экспонирования является шаговое


проекционное. Благодаря ему можно достичь высокого разрешения и
исключить повреждения фотошаблона.

1.2 Фоторезист
Фоторезисты — это сложные полимерные композиции, в составе
которых имеются пленкообразующие и фоточувствительные к
ультрафиолетовому излучению компоненты, растворители и специальные
добавки. Последние вводят для улучшения условий пленкообразования
(разбавители), изменения фоточувствительности (сенсибилизаторы),
повышения адгезии фоторезистивного слоя к подложкам, улучшения
стойкости к воздействиям кислот, щелочей, высоких температур.
Растворители определяют стабильность свойств готовых фоторезистов,
влияют на процесс нанесения и последующее высыхание фотослоя [4].
Существуют два класса фоторезистов (Рисунок 1.7):
• позитивные
Позитивные ФР — это резисты, локальные участки которых после
воздействия излучения за счет фотодеструкции (разрушения) удаляются в
11
проявителях, а необлученные — остаются на подложке и образуют
фоторезистивную контактную маску. Позитивные ФР изготавливают на
основе фоточувствительных нафтохинондиазидов (НХД) и
фенолформальдегидных смол, являющихся пленкообразующими
полимерами. Облученные участки фотослоя, в отличие от необлученных,
становятся гидрофильными, хорошо смачиваются и удаляются щелочными
проявителями.
• негативные
Негативные ФР — это резисты, локальные участки которых под
действием излучения в результате фотоструктурирования (сшивания)
становятся стойкими к воздействию проявителя и в отличие от необлученных
участков остаются на подложке, образуя фоторезистивную маску.
Негативные ФР изготавливают на основе поливинилциннамата или на основе
каучуков.

Рисунок 1.7 – Структура фоторезистивных масок, полученных с применением


негативного и позитивного фоторезистов
1 – полупроводниковая подложка, 2 – активный слой (SiO2, металл), 3 – слой
фоторезиста, 4 – фотошаблон

1.3 Ограничения фотолитографии

12
Разрешение фотолитографии ограничивают принципиальные и
технические факторы:
1) Дифракция света (дифракция – явление отклонения света от
прямолинейного направления распространения при прохождении
вблизи препятствий)
2) Аберрации (геометрические погрешности), зависящие от диаметра
объектива
3) Неточности рисунков фотошаблонов
4) Ошибки, возникающие при совмещении
Принципиальные ограничения можно минимизировать благодаря
тщательному подбору линз, выходного диаметра объектива и остальных
оптических деталей. Технические факторы решаются ответственным
подходом к этапу совмещения и подготовке фотошаблона.

13
ГЛАВА 2. УСТАНОВКИ ДЛЯ ЭКСПОНИРОВАНИЯ С
ЭКСИМЕРНЫМ ИСТОЧНИКОМ ИЗЛУЧЕНИЯ, ИХ ОСНОВНЫЕ
СОСТОВЛЯЮЩИЕ

2.1 Стандартная схема литографа на эксимерном лазере

На Рисунке 2.1 приведена схема литографа на длине волны 193 нм [5].

Рисунок 2.1 – Установка для проекционного экспонирования

Оптические элементы установки (справа налево):


1) Модуль сужения линии (Line-Narrowing Module) (Рисунок 2.2);
В лазере с суженным спектром к задней части лазера добавляется
подсистема сужения линии. В модуле сужения линий лазерный луч сначала
расширяется призмами на поверхность решетки. Решетка преломляет
падающий свет обратно в том же направлении, что и падающий луч и в
усиливающую среду [6].
Принцип сужения спектра основан на введении в резонатор оптических
элементов с дисперсией длины волны. Кандидатами на сужение являются
14
несколько типов дисперсионных элементов, в том числе эталоны
(интерферометрический датчик Фабри-Перо), решетки и призмы. Призмы не
обеспечивают достаточной дисперсии, а используются для расширения луча
на более дисперсионный элемент. Эталоны являются одновременно
эффективными и высокодисперсными элементами, но подвержены
оптическим повреждениям, тепловым искажениям и дрейфу.
Решетки обладают высокой дисперсией, устойчивостью к
повреждениям и термической стабильностью. Решетки могут быть
изготовлены с достаточной оптической чистотой и плоскостностью, чтобы
обеспечить очень высокое спектральное качество. Поскольку усиливающая
среда действует как усилитель, важно, чтобы качество решетки было
высоким. Кроме того, решетка большой площади обеспечит снижение
оптической нагрузки и тепловых эффектов, что повысит стабильную работу
и срок службы элемента в системе сужения линии.

Рисунок 2.2 – Схема сужения линии литографического эксимерного лазера, патент


US8126027B2 (Line narrowing module)

2) Поляризатор (Optional polariser) — устройство, предназначенное


для получения полностью или частично поляризованного
оптического излучения из излучения с произвольным состоянием
поляризации [7];
3) Аттенюатор (Attenuator) – устройство для плавного, ступенчатого
или фиксированного понижения интенсивности электрических
или электромагнитных колебаний [8];

15
4) Дифракционный оптический элемент (ДОЭ) (Beam Shaping
Optics) – элемент, который преобразует входной лазерный луч
Гаусса в пятно с однородной интенсивностью желаемой формы
[9];
5) Гомогенизатор (Homogenizer) – это устройство, которое
сглаживает неровности профиля лазерного луча для создания
более однородного. В большинстве гомогенизаторов пучка
используется многогранное зеркало с квадратными гранями.
Зеркало отражает свет под разными углами, создавая луч с
одинаковой силой по всему профилю луча [10, 11];
6) Конденсор (Condenser Lens) – линзовая, зеркальная или
зеркально-линзовая оптическая система, собирающая лучи от
источника света и направляющая их на рассматриваемый или
проецируемый предмет [12, 13];
7) Фотошаблон (Reticle) [14];
8) Катадиоптрическая оптическая система (Сatadioptric imaging lens)
— это система, в которой преломление и отражение сочетаются в
оптической системе, обычно через линзы (диоптрики) и
изогнутые зеркала (катоптрики) [15];
9) Линейный столик с воздушными подшипниками (Air bearing
stage) [16].

2.1.1. Патенты установок для экспонирования эксимерными лазерами

В патенте US 8,325,324 (Рисунок 2.3) описан вариант установки для


лазерного экспонирования с помощью длины волны 193 нм и 248 нм. Идея
установки в том, чтобы разделить луч на два оптических пути, затем они оба
проходят через объектив, соединяясь на фоторезисте.
В патенте US 9,341,954 (Рисунок 2.4) использовался эксимер ArF с
длиной волны 193 нм или эксимерный лазер KrF (длина волны: 248 нм) в

16
качестве экспозиционного света, но экспозиционный свет не должен
ограничиваться этим. Варианты настоящего изобретения также могут быть
применены к любым другим соответствующим источником лазерного света,
например, источник лазерного света F2, который подает лазерный свет с
длиной волны 157 нм.

Рисунок 2.3 – Патент US 8,325,324 (ILLUMINATING OPTICAL APPARATUS,


EXPOSURE APPARATUS AND DEVICE MANUFACTURING METHOD)

17
Рисунок 2.4 – Патент US 9,341,954 (OPTICAL UNIT, ILLUMINATION OPTICAL
APPARATUS, EXPOSURE APPARATUS, AND DEVICE MANUFACTURING METHOD)

В патенте US 9,500,943 (Рисунок 2.5) представлена система


экспонирования, в которой особое внимание уделяют объективу. Чистая
вода используется как иммерсионная жидкость и имеет показатель
преломления n около 1,44 для экспозиционного света с длиной волны
примерно 193 нм. В случае, когда эксимер ArF с длиной волны 193 нм
используется в качестве источника излучения для такой системы, длина
волны становится примерно 134 нм, таким образом, увеличивается
разрешение, Кроме того, глубина фокуса увеличивается примерно в
несколько раз.

Рисунок 2.5 – Патент US 9,500,943 (PROJECTION OPTICAL SYSTEM, EXPOSURE


APPARATUS, AND EXPOSURE METHOD)

В патенте JP 2002-169083 A приведена схема иммерсионной установки


для экспонирования. Как показано на Рисунке 2.6, устройство
экспонирования в соответствии с этим вариантом осуществления включает в
себя, например, источник света эксимерного лазера ArF, который является
источником света экспонирования, и включает в себя осветительную

18
оптическую систему 1, которая включает в себя оптический интегратор
(гомогенизатор), диафрагму поля, конденсорную линзу, и тому подобное.
Экспозиционный свет (экспозиционный луч) IL, состоящий из
ультрафиолетового импульсного света с длиной волны 193 нм, излучаемого
источником света, проходит через осветительную оптическую систему 1 и
освещает сетку (маску) R.

Рисунок 2.6 – Патент JP 2002-169083 A (OBJECTIVE OPTICAL SYSTEM,


ABERRATION MEASURING INSTRUMENT AND EXPOSURE DEVICE)

2.2 Сравнение существующих установок, крупнейшие


производители

Крупнейшими компаниями производителями литографических


установок на сегодняшний день являются ASML (Нидерланды), Canon
(Япония), Nikon (Япония), TSMC (Тайвань). С каждым годом продажи
устройств для фотолитографии растут, а эти компании уже долго остаются
лидерами в производстве (Рисунок 2.7) полупроводниковых приборов [17].

19
Рисунок 2.7 – Рост продаж литографической продукции

Таблица 1 – Сравнение основных характеристик последних моделей


литографов от ASML [18], Nikon [19] и Canon [20]
Производитель Nikon ASML Canon

Модель установки НСР-S636E TWINSCAN FPA-6300ES6a


EXE:5000

Изображение

Разрешение ≦ 38 нм 8 нм ≦ 90 нм

Источник Эксимерный Газовый CO2 лазер Эксимерный лазер


излучения лазер ArF (длина (длина волны 10,6 KrF (длина волны
волны 193 нм) мкм) 248 нм)

20
Числовая апертура, 1,35 0,55 0.86~0.50
NA

Пропускная ≧ 280 185 пластин/час > 200


способность пластин/час
пластин/час

Тип Иммерсионное Экспонирование Экспонирование в


экспонирования экспонировани в жестком дальнем
е в дальнем ультрафиолете ультрафиолете
ультрафиолете (EUV) (DUV)
(DUV)

В Таблице 1 представлено равнение основных характеристик


последних моделей литографов от ASML, Nikon и Canon. Сразу заметно, что
характеристики Canon FPA-6300ES6a хуже, чем у других моделей. Это
хорошо отображает значение длины волны для экспонирования, так как у
этого литографа в качестве источника излучения используется лазер с
большей KrF длиной волны 248 нм. Установка от Nikon имеет лучшее
разрешение и апертуру, так как там длина лазерного излучения уже 193 нм.
Но несмотря на это, они все уступают новейшей установке от ASML.В ней
используется источник излучения 13,5 нм, которые позволяют создавать
изображения размером 8 нм.

21
ГЛАВА 3. СОБСТВЕННАЯ СТРУКТУРНО-
ФУНКЦИОНАЛЬНАЯ СХЕМА ЛИТОГРАФА

Рисунок 3.1 – Структурно функциональная схема литографа для


иммерсионного экспонирования на длине волны 193 нм

3.1 Выбор источника излучения

В структурно-функциональной схеме в качестве источника излучения


используется эксимерный лазер ArF с длиной волны 193 нм.
Лазер на фториде аргона (ArF-лазер) представляет собой особый
тип эксимерного лазера, который иногда (более правильно) называют
эксиплексным лазером. Большинство эксимерных лазеров являются
ультрафиолетовыми лазерами, которые работают под воздействием

22
импульсов тока, что приводит к излучению интенсивностей наносекундных
импульсов. Имея длину волны 193 нанометра, это лазер глубокого
ультрафиолета, который обычно используется в производстве
полупроводниковых интегральных схем, глазной хирургии, микрообработке
и научных исследованиях. «Эксимер» — сокращение от «возбужденный
димер», а «эксиплекс» — сокращение от «возбужденный
комплекс». Эксимерный лазер обычно использует смесь
благородного газа (аргона, криптона или ксенона) и галогенного газа (фтора
или хлора), который при подходящих условиях электростимуляции и
высокого давления испускает когерентное стимулированное излучение
(лазерный свет) в ультрафиолетовый диапазон [21].
Эксимерные лазеры ArF (и KrF) широко используются
в фотолитографических машинах высокого разрешения — важной
технологии производства микроэлектронных чипов. Эксимерная лазерная
литография позволила уменьшить размеры элементов транзисторов с 800
нанометров в 1990 году до 7 нанометров в 2018 году. Машины для
литографии в экстремальном ультрафиолете в некоторых случаях заменили
машины фотолитографии ArF, поскольку они позволяют выполнять еще
меньшие размеры элементов, одновременно повышая производительность,
поскольку машины EUV могут обеспечить достаточное разрешение за
меньшее количество шагов.
УФ-излучение ArF-лазера хорошо поглощается биологическими
веществами и органическими соединениями. Вместо того, чтобы сжигать или
резать материал, ArF-лазер разрушает молекулярные связи поверхностной
ткани, которая распадается на воздух строго контролируемым образом
посредством абляции, а не сжигания. Таким образом, ArF и другие
эксимерные лазеры обладают тем полезным свойством, что они могут
удалять исключительно тонкие слои поверхностного материала практически
без нагревания или изменения остального материала, который остается
нетронутым.

23
Долгое время ArF лазер хотели заменить на лазер с еще более короткой
длиной волны 157 нм. Ключевые задачи развития технологии
фотолитографии на длине волны 157 нм включали поиск новых фоторезистов
и материалов для линз. Поиск новых фоторезистов, подходящих для
технологии 157 нм, был затруднен, прежде всего, поведением поглощения
обычно используемых органических молекул. Группы фенола и карбоновой
кислоты очень хорошо поглощают ультрафиолет с длиной волны 157 нм, так
что излучение не может проникнуть достаточно глубоко в слой фоторезиста.
Проблема чрезмерного поглощения также существует при использовании
материалов (с высоким преломлением) для линз и иммерсионных жидкостей.
Длина волны УФ-излучения 157 нм лежит на краю окна пропускания обычно
используемого специального кварцевого стекла. Это приводит, в том числе, к
повышенному нагреву во время работы, что, в свою очередь, отрицательно
влияет на оптическую систему и точность изображения. Таким образом, в
настоящее время нет подходящих материалов для промышленного
использования.
Таким образом, при использовании лазера мы можем использовать
длину волны 193 нм. Однако для производства сложных полупроводниковых
приборов разрешения, достигаемого при этой длине волны (~ 100 нм),
недостаточно.

3.2 Иммерсионная фотолитография

Гораздо большего разрешения можно достичь, применяя


дополнительные методы экспонирования. Например, метод иммерсионной
литографии. Это улучшение проекционной экспозиции, при котором воздух
в зазоре между последней линзой и поверхностью пластины заменяется
иммерсионной жидкостью (прозрачной жидкостью с максимально
возможным показателем преломления). По сравнению с аналогичными
системами без иммерсионной среды это позволяет создавать структуры
24
меньшего размера, поскольку достигается большая числовая апертура (NA) и
глубина резкости. Техническая структура современных систем
иммерсионной литографии практически соответствует структуре систем
сухой фотолитографии на основе эксимерных ArF-лазеров (193 нм). Сюда
входят лазерный источник, система конденсорных линз, держатель маски,
фокусирующая оптика и стол для образцов, на котором размещается
пластина. Однако, напротив, между пластиной и последней линзой системы
линз должна находиться иммерсионная жидкость (Рисунок 3.2) [22].

Рисунок 3.2 – Схематическая структура системы иммерсионной литографии

( n 1,47) , . , . (1- ) (1,65-1,8). , . 1,65 14%.

25
Чтобы понять, как работает система иммерсионной литографии,
сначала необходимо знать несколько основ определения разрешения
оптической системы. Минимально достижимое разрешение R оптической
системы можно описать следующим уравнением:

(1)

Где k – константа процесса, λ – длина волны в вакууме, n×sinθ или NA


– числовая апертура.

Коэффициент k зависит от используемого процесса экспонирования и


определяется условиями экспонирования, технологией маски и свойствами
фоторезиста. Это значение было уменьшено примерно с 0,8 до 0,38 благодаря
многочисленным улучшениям за последние несколько десятилетий, тем
самым улучшив разрешающую способность фотолитографических систем.
Кроме того, в прошлом минимальное разрешение достигалось за счет
уменьшения длины волны воздействия (от линий излучения h и i ртутной
лампы через эксимерные лазеры KrF до эксимерных лазеров ArF, то есть с
434 нм, 365 нм, 248 нм до 193 нм), улучшение свойств фоторезиста или
использование новых методов экспонирования. Эта тенденция больше не
могла продолжаться после появления эксимерного лазера ArF, поскольку
дальнейшее уменьшение длины волны (эксимерный лазер F, 157 нм)
достигло новых пределов.

26
Рисунок 3.3 – Улучшение числовой апертуры благодаря иммерсионной системе

«» ( 3.3), . «» 1— , , 0,95. (), - (n 1) ( )

27
Еще одним важным параметром фотолитографии является глубина
фокуса. Он указывает область, в которой фокус достаточен для получения
резкого изображения. Поскольку успешное экспонирование должно
происходить по всей толщине слоя фоторезиста, глубина изображения
должна быть достаточно большой с учетом толщины используемого слоя
фоторезиста и неровностей поверхности пластины. В противном случае
возникнут проблемы с визуализацией, например, развитые структуры выше
или ниже плоскости изображения будут значительно меньше, и это приведет
к своего рода «нависанию» или маске, которая не будет открываться
должным образом.
Подобно разрешению, глубина изображения также зависит от
используемой длины волны, используемой числовой апертуры и среды
между линзой и фоторезистом. Для проекционной системы глубину
изображения обычно можно рассчитать по формуле:

(2)

Где n – показатель преломления иммерсионной жидкости, λ – длина


волны в вакууме, NA – числовая апертура.
А значит, что при увеличении показателя преломления увеличивается и
глубина резкости. Подводя итог, можно сказать, что использование
иммерсионной среды значительно улучшает свойства изображения по
сравнению с аналогичной сухой системой. Используя в качестве
иммерсионной среды особо чистую воду (показатель преломления 1,47 при
длине волны 193 нм), можно добиться улучшения разрешения примерно на
30-40% (в зависимости от используемых материалов). Для сравнения,
переход от эксимерных лазеров ArF к эксимерным лазерам F 2 позволит
улучшить ситуацию чуть менее чем на 19%.

28
3.3 Ограничения DUV фотолитографии

Технология фотолитографии с источником излучения 193 нм хорошо


изучена и часто используется, но у нее есть ряд ограничений. Главная
характеристика экспонирования – разрешение. Технологии DUV
фотолитографии ограничивается разрешением ~ 20 нм (минимальное
значение, которое можно рассчитать по формуле 1). Техника же не стоит на
месте, и людям нужны транзисторы еще меньше, а значит и новые
технологии экспонирования.

29
ГЛАВА 4. ФОТОЛИТОГРАФИЯ В ЖЕСТКОМ
УЛЬТРАФИОЛЕТЕ, ЕЕ ПРЕИМУЩЕСТВА, УСТАНОВКИ

Литография в крайнем ультрафиолете (также известная как EUV)


представляет собой литографию с использованием диапазона крайнего
ультрафиолета (EUV или EUVL) длины волн 13,5 нм. Хотя технология EUV
доступна для массового пользования, 53 машины по всему миру, способные
выполнять пластины с использованием этой технологии, были поставлены с
1 апреля 2018 г., а 201 система иммерсионной литографии была поставлена
за тот же период. Проблемы, затрудняющие внедрение EUV, - это стоимость
инструментов (сканеры EUV от ASML могут стоить до 120 миллионов
долларов США), время безотказной работы инструмента и стохастические
явления. Новейшие инструменты NXE: 3400 используют более пустой зрачок
для лучшего изображения, но это приводит к снижению производительности
из-за ограниченного использования поля экспонирования [23].

4.1 Маска и мишень

EUV фотомаски работают за счет отражения света, что достигается за


счет использования нескольких чередующихся слоев из молибдена и
кремния. Это отличается от обычных фотошаблонов, которые блокируют
свет одним слоем хрома на кварцевой подложке. Маска EUV состоит из 40
чередующихся слоев кремния и молибдена; этот многослойный слой
действует так, чтобы отражать крайний ультрафиолетовый свет посредством
дифракции Брэгга.
Двуокись олова SnO2 начала использоваться в качестве мишени для
источника EUV-излучения сравнительно недавно. До этого использовались
ионы ксеноновой плазмы, Sn-мишени (kEUV=0,8%), жидкая Sn-струя
(kEUV=2,5%). Одной из причин использования SnO2 является то
30
обстоятельство, что ионы кислорода дают интенсивную линию в области λ =
13–14 нм.

4.2 Принципы работы установки для EUV фотолитографии

EUVL - это существенный отход от стандарта литографии для


глубокого ультрафиолета. Все вещество поглощает EUV излучение.
Следовательно, для EUV-литографии необходим вакуум. Все оптические
элементы, включая фотоштаб, должны использовать бездефектные
многослойные молибден / кремний (Mo /Si ) (состоящие из 40 бислоев Mo /
Si), которые отражают свет посредством межслойной интерференции; любое
из этих зеркал поглощает около 30% падающего света [24].
Современные системы EUVL (Рисунок 4.2) содержат как минимум два
конденсаторных многослойных зеркала, шесть проекционных многослойных
зеркал и многослойный объект (маску). Зеркала поглощают 96% EUV-
света, идеальный EUV-источник должен быть намного ярче, чем его
предшественники. При разработке источников EUV разряд уделяется плазме,
генерируемой лазерными или импульсами. Зеркало, отвечающее за сбор
света, напрямую подвергается воздействию плазмы и уязвимо для
повреждений от него высоких энергий и других осколков, таких как капли
олова, требуют ежегодной замены дорогостоящего зеркала-коллектора.
Оптическая схема установки приведена на Рисунке 4.1. В задающем
генераторе (ЗГ) (1) применена гибридная схема с целью обеспечения
одномодового одночастотного режима генерации. Неселективный резонатор
ЗГ образован сферическим медным зеркалом и выходным плоским 4 из
германия с односторонним просветлением на λ = 10.6 мкм. ЗГ генерирует
гладкие импульсы длительностью на полувысоте ∼ 75 нс и энергией ∼ 100
мДж. Ячейка ПЯ1 (2), заполненная смесью SF6 и воздуха, играет роль
нелинейного насыщающегося фильтра и используется для формирования
временного профиля усиливаемого импульса. Дополнительное назначение
31
ячейки состоит в предотвращении паразитной генерации усилительной
линейки на рабочей длине волны и поглощении повторного импульса,
возникающего при усилении лазерного излучения, отраженного от плазмы
мишени. Плоская дифракционная решетка (100 линий/мм) (3) направляет
лазерный пучок на сферическое зеркало (4). Таким образом, для подавления
паразитной генерации в оптической схеме использован способ, основанный
на введении в оптическую линейку поглотительных элементов, так что
полный коэффициент усиления слабого сигнала становится близким к нулю
или отрицательным. Насыщающаяся ячейка (2) в комбинации с
дифракционной решеткой (3) устраняет оптическую связь усилительной
линейки с резонатором задающего генератора во всем спектре усиления СО2
кроме линии излучения. Такая комбинация позволяет избежать применения
широкополостных поглотителей и неизбежных в этом случае значительных
ненасыщающихся потерь. Пространственный фильтр, состоящий из
конфокальных фокусирующих зеркал (4, 5) и диафрагмы (6) диаметром 1.2
мм, обеспечивает формирование лазерного пучка близкого к гауссовскому и
формирование пучка с оптимальным для трехпроходного усилителя (УМ)
диаметром. Дополнительная функция пространственного фильтра состоит в
защите оптических элементов от обратного лазерного пучка, рассеянного
лазерной плазмой и усиленного в УМ. Пара плоских зеркал (7, 8) направляет
лазерный луч в УМ. Усилительная часть установки состоит из:
• усилителя мощности УМ;
• плоских зеркал (8–12), обеспечивающих три прохода в активной
среде усилителя (1 );
• поглощающей ячейки ПЯ2 (13) со смесью SF6 и воздуха, которая
применяется с той же целью, что и ПЯ1.
На выходе УМ формируется лазерный луч диаметром ∼

20 15 . 1 .

32
Рисунок 4.1 – Оптическая схема СО2-лазерной системы: 1 — задающий
генератор (ЗГ); 2, 13 — поглощающие ячейки (ПЯ1, 2); 3 — дифракционная
решетка; 4, 5 — пара конфокальных сферических зеркал; 6 —
диафрагма пространственного фильтра; 7–12 — плоские зеркала; 14 —
активная среда модуля усилителя мощности (УМ).

Рисунок 4.2 – Установка EUV фотолитографии компании ASML

4.4.1 Патенты на установки для EUV фотолитографии

В патенте KR102252228B1 предложен вариант оптической схемы


EUV литографа, где благодаря специальным покрытиям исправлена

33
проблема поглощения некоторыми оптическими элементами
ультрафиолетового излучения.

Рисунок 4.3 – Патент KR102252228B1 (Planarized extreme ultraviolet lithography blank with
absorber and manufacturing system therefor)

4.3 Выбор источника EUV-излучения и его особенности

Источником EUV-излучения в фотолитографии служит импульсный


CO2 лазер. Для генерации EUV-излучения CO2-лазер излучает два отдельных
лазерных импульса на быстро движущуюся каплю олова. При этом олово
испаряется и создается EUV-свет. В установке от ASML, рассмотренной в
Таблице 1, в источнике лазерной плазмы капли расплавленного олова
диаметром около 25 микрон выбрасываются из генератора со скоростью 70
метров в секунду. Когда они падают, на капли сначала воздействует
лазерный импульс низкой интенсивности, который сглаживает их, придавая
им форму блина. Затем более мощный лазерный импульс испаряет
34
сплющенную каплю, создавая плазму, излучающую EUV-свет. Чтобы
произвести достаточно света для производства микрочипов, этот процесс
повторяется 50 000 раз в секунду.
Важной особенностью установок для жесткой фотолитографии
является обязательное наличие вакуума, так как ультрафиолетовый свет
поглощается всем, даже воздухом. Таким образом, весь путь света и все, с
чем он взаимодействует – от источника до пластины – должно находиться в
высоком вакууме.
Важной характеристикой EUV излучения является коэффициент
конверсии (kEUV). Максимального его значения 4,5% можно достичь,
используя в качестве мишени оловянные капельки, облучаемые импульсами
импульсно-периодического СО2-лазера длительностью 15 нс.
Активная лазерная среда (среда усиления лазера) представляет собой
газовый разряд с воздушным или водяным охлаждением, в зависимости от
прикладываемой мощности. Наполняющий газ внутри герметичной
газоразрядной трубки состоит примерно на 10–20% из углекислого газа
(CO2), около 10–20% азота (N2), несколько процентов водорода (H2) и/или
ксенон (Xe), а остальное составляет гелий (He). В проточном лазере
используется другая смесь, где CO2 через него постоянно прокачивается [25].
Конкретные пропорции варьируются в зависимости от конкретного лазера.
Для получения света с такой длиной волны необходим специальный
источник света. Прежде всего, это мощный CO2-лазер от TRUMPF. Обладая
мощностью 30 киловатт – примерно в два раза больше, чем у классических
промышленных лазеров, разрезающих сталь толщиной в сантиметр – это
самый мощный импульсный промышленный лазер в мире [26, 27].

4.4 Оптика для установок EUV фотолитографии

С компанией ASML сотрудничает немецкая оптическая компания


ZEISS, пока только они могут производить оптику такого качества и
35
точности, которая подходи для жесткого ультрафиолета. Поскольку
ультрафиолетовый свет поглощается всеми материалами, включая воздух,
компания ZEISS SMT создала оптическую систему для машины EUV-
литографии. Он работает в вакуумной камере и состоит из изогнутых зеркал.
Даже малейшие неровности приводят к ошибкам визуализации. Поэтому для
ЭУФ-литографии было разработано самое точное в мире зеркало с
многослойным покрытием (так называемое зеркало Брэгга) [28].
Чрезвычайно тонкие слои кремния и молибдена – толщиной всего в
несколько атомных слоев – напыляются на поверхность стекла. Для этого
здесь накладываются друг на друга до 100 слоев. Один слой будет отражать
большой процент света – потери будут слишком велики. Чтобы повысить
эффективность зеркал, компания ZEISS SMT совместно с Институтом
Фраунгофера IOF разработала уникальную систему покрытия, требующую
атомной точности. Толщина слоя составляет всего несколько нанометров.
Результатом является отражательная способность, которая составляет до 70
процентов полезного света. Это происходит за счет конструктивной
интерференции: EUV-свет в каждом случае отражается отдельными слоями.
Когда они точно накладываются друг на друга, свет усиливается, поскольку
отдельные волны излучения идеально накладываются друг на друга.
Зеркальный блок является частью платформы пластин и имеет точно
изготовленные опорные конструкции для пластин и оптических датчиков.
Это обеспечивает точное выравнивание пластины по маске и проекционной
оптике для экспонирования пластины. Несмотря на термические нагрузки и
высокие динамические нагрузки в сканере пластин, зеркальный блок
практически идеально сохраняет форму.

4.5 Перспективы развития фотолитографии в жестком


ультрафиолете

36
В Таблице 3 представлены разрешения и года, когда компании
планируют начать выпускать или уже выпустили такую продукцию.

Таблица 3 – Перспективы увеличения разрешения


Процесс Год

7 нм 2018

5 нм 2020

3 нм 2022

2 нм 2024

1 нм 2026

Будущие перспективы EUV-литографии многообещающие. Поскольку


спрос на передовые микрочипы в таких отраслях, как смартфоны,
автомобилестроение и электроника, продолжает расти, существует
потребность в литографических решениях, которые могут создавать меньшие
по размеру и более сложные полупроводниковые конструкции. EUV-
литография потенциально может удовлетворить этот спрос, поскольку
обеспечивает повышенное разрешение и позволяет создавать более тонкие и
плотные узоры. Это также уменьшает количество необходимых
производственных этапов, что приводит к экономии затрат и повышению
эффективности.
Более того, EUV-литография уже используется ведущими
производителями полупроводников, такими как Samsung и TSMC, для
производства чипов следующего поколения. Это убедительный признак
признания рынком и потенциала для более широкого внедрения в будущем.
Ожидается, что переход к EUV-литографии будет ускоряться по мере того,
как все больше производителей модернизируют свои производственные
мощности для поддержки этой технологии.

37
Согласно предоставленной информации о рынке EUV-литографии,
прогнозируется, что его совокупный годовой темп роста (CAGR) составит %
в течение прогнозируемого периода. Этот рост можно объяснить такими
факторами, как растущий спрос на современные полупроводники,
продолжающиеся технологические достижения в разработке микросхем и
потребность в более высокой производительности при производстве
полупроводников. Рынок, вероятно, будет определяться крупными игроками
полупроводниковой промышленности, которые вкладывают значительные
средства в технологию EUV-литографии, чтобы сохранить конкурентное
преимущество и удовлетворить растущий рыночный спрос.
На конкурентном рынке EUV-литографии представлены ведущие
игроки, такие как ASML, Nikon, Canon и Gigaphoton Inc. Эти компании
имеют богатую историю, заметный рост рынка и значительную долю рынка.
Компания ASML зарекомендовала себя как лидер отрасли благодаря своим
передовым системам литографии, а компании Nikon и Canon используют
свой оптический опыт для разработки передовых решений. Gigaphoton
специализируется на поставках источников света и стал ключевым игроком
на рынке. Размер рынка EUV-литографии значителен, что обусловлено
растущим спросом на современные чипы и полупроводники [29].

4.6 Сравнение EUV фотолитографии и фотолитографии с


источником излучения 193 нм

Таблица 4 – Сравнение EUV фотолитографии и фотолитографии с


источником излучения 193 нм
Характеристика EUV–фотолитография Иммерсионная
фотолитография 193 нм

Длина волны 13,5 нм 193 нм

Источник излучения CO2 лазер+ Sn плазма Эксимерный лазер ArF

38
Оптика Отражающие Прозрачные линзы
многослойные (~ 40%
поглощении)

Числовая апертура (NA) Высокая NA (0,55) 1.20, 1.35

Блики 4% <1%

Окружение Вакуумный водород Воздух (открытая


область пластины под
водой)

Разрешение < 20 нм ~ 22 нм

Исходя из данных Таблицы 4, видно, что хоть EUV фотолитография


более сложный процесс, но благодаря ему можно достичь лучшего
разрешения и числовой апертуры.

39
ЗАКЛЮЧЕНИЕ

В ходе работы над проектом был проведен анализ существующих


установок для лазерного экспонирования на длине волны 193 нм и для
жесткого ультрафиолета (EUV–фотолитографии), анализ патентов с
установками и объективами для них, анализ и сравнение компаний,
выпускающих оборудование для экспонирования. Была предложена схема
установки экспонирования с источником излучения на 193 нм и на ее основе
были выявлены недостатки такого метода. Затем был проведен анализ
жесткой фотолитографии и на основе сравнительной характеристики был
сделан вывод, что EUV технология гораздо актуальнее, эффективнее и
перспективнее.
Таким образом, обеспечение процесса экспонирования с разрешением
1-2 нм возможно благодаря подбору качественного и современного
объектива (например, от компании ZEISS) с маленькой числовой апертурой и
сверхвысоким излучением, мощного CO2 лазера (например, от компании
TRUMPF) и хорошей системы стабилизации.

40
СПИСОК ИСПОЛЬЗОВАННЫХ ИСТОЧНИКОВ

1. Учебное пособие, Б.А. Лапшинов “ТЕХНОЛОГИЯ


ЛИТОГРАФИЧЕСКИХ ПРОЦЕССОВ” (28.01.2024)
2. https://en.wikipedia.org/wiki/Photolithography (28.01.2024)
3. https://habr.com/ru/companies/droider/articles/568806/ (28.01.2024)
4. https://ru.wikipedia.org/wiki/%D0%A4%D0%BE%D1%82%D0%BE
%D1% 80%D0%B5%D0%B7%D0%B8%D1%81%D1%82#%D0%94%D0%BB
%D0%B8%D0%BD%D1%8B_%D0%B2%D0%BE%D0%BB%D0%BD_
%D0%B8_%D1%82%D0%B8%D0%BF%D1%8B_%D1%8D%D0%BA
%D1%81%D0%BF%D0%BE%D0%BD%D0%B8%D1%80%D0%BE
%D0%B2%D0%B0%D0%BD%D0%B8%D1%8F (28.01.2024)
5. https://www.researchgate.net/figure/Design-of-x10-05NA-all-refractive-
fused-silica-lens_fig7_252464555 (28.01.2024)
6. https://www.sciencedirect.com/topics/engineering/spectral-narrowing
(28.01.2024)
7. https://ru.wikipedia.org/wiki/%D0%9F%D0%BE%D0%BB%D1%8F
%D1% 80%D0%B8%D0%B7%D0%B0%D1%82%D0%BE%D1%80
(28.01.2024)
8. https://ru.wikipedia.org/wiki/
%D0%90%D1%82%D1%82%D0%B5%D0%BD%D1%8E
%D0%B0%D1%82%D0%BE%D1%80 (28.01.2024)
9. https://www.edmundoptics.com/knowledge-center/application-notes/optics/
laser-beam-shaping-overview/ (28.01.2024)
10. https://en.wikipedia.org/wiki/Beam_homogenizer (28.01.2024)
11. https://www.opticsforhire.com/blog/laser-beam-homogenizer/ (28.01.2024)
12. https://ru.wikipedia.org/wiki/%D0%9A%D0%BE%D0%BD
%D0%B4%D0%B5%D0%BD%D1%81%D0%BE%D1%80 (28.01.2024)
13. https://sistema-stage.ru/knowledge/kondensor/ (28.01.2024)
14. https://en.wikipedia.org/wiki/Reticle (28.01.2024)
15. https://en.wikipedia.org/wiki/Catadioptric_system (28.01.2024)
16. https://www.h2wtech.com/category/multi-axis-systems?
gclid=CjwKCAiAqY6tBhAtEiwAHeRopZsJGWJWLj3D656VZ_Lr6MpOVO5QEUl
Dr8cyUBCe0ZGqzXYLSD1frhoCvm8QAvD_BwE#productInfo1 (28.01.2024)
17. https://4sense.medium.com/lithography-machines-asml-canon-vs-nikon-
100c141b58ff (28.01.2024)
18. https://www.asml.com/en/products/euv-lithography-systems/twinscan-exe-
5000 (28.01.2024)
19. https://www.nikon.com/business/semi/lineup/ (28.01.2024)
20. https://global.canon/en/product/indtech/semicon/fpa6300es6a.html
(28.01.2024)
41
21. https://en.wikipedia.org/wiki/Argon_fluoride_laser (28.01.2024)
22. https://de.wikipedia.org/wiki/Immersionslithografie (28.01.2024)
23. https://www.researchgate.net/publication/
226351221_Study_of_the_Generation_of_the_135-
nm_EUV_Radiation_from_Sn_Ions_in_a_CO2_Laser-Produced_Plasma?
enrichId=rgreq-6a4126294e0e33a2b412aabe7eade034-
XXX&enrichSource=Y292ZXJQYWdlOzIyNjM1MTIyMTtBUzo5NzU5NjY2ND
E4ODkyOEAxNDAwMjgwMjY2MDQ5&el=1_x_3&_esc=publicationCoverPdf
(28.01.2024)
24. file:///F:/Фотолитография/Литография%20в%20крайнем
%20ультрафиолете%20-%20Extreme%20ultraviolet%20lithography.html
(28.01.2024)
25. https://en.wikipedia.org/wiki/Carbon-dioxide_laser (28.01.2024)
26. https://www.asml.com/en/products/euv-lithography-systems (28.01.2024)
27. https://www.trumpf.com/ru_INT/produkcija/ (28.01.2024)
28. https://www.zeiss.com/semiconductor-manufacturing-technology/inspiring-
technology/euv-lithography.html (28.01.2024)
29. https://translated.turbopages.org/proxy_u/en-ru.ru.862cf28e-65b6277f-
36837764-74722d776562/https/en.wikipedia.org/wiki/2_nm_process (28.01.2024)

42

Вам также может понравиться