Вы находитесь на странице: 1из 787

. .

2-,



654600 552800 "
( 220100 " , , ")

-
-
2007
681.3.06
32.973.26-0473
27
. .
27 : . . 2- .,
. . .: -, 2007. 800 .: .


ISBN 978-5-94157-397-4
, ,
, ,



, ,
, .
, ,
, ,
.
, ,


, ,
. "",
.
, ,

681.3.06

32.973.26-0473
:

-
(. . . ),
. . ( . . . )


.
.

Ns 02429 24.07.00. 29.01.07.


70100'/16. . . . . 64,5.
. 3000 . 904
-", 194354, -, . , 5.
, Ns 77.99.02.953..001537.03.02
13.03.2002 . .


" "
190005, -, ., 29.

ISBN 978-5-94157-397-4 . ., 2004


, "-", 2004


........................... ......................................................................................................1


.........................................................................................................................................5

1.
.................................................................................................................................... 7
1.1. ....................7


..................................................................7
................................ ....................... 10
...................................................................... 11
.........................................................13
1.2. ..................................................................... 13
............................................................................................................ 13

........................................................................................... 15
()............................................ ..................... 17
(

)................................................................................................. 21
.......................................................................................22
1.3. -
.................................................................... 22

pull-up- pull-down-.......................................... 22
..................... 24
1.4. .
........................................................26

1.5. .
. .......... 28
......................................................................................................28
..................................................... 29
.......................................... 32

................................... 36

................................................................................................... 37
...............................................................................................37
............................................................ 40

" ".. .................................................................... 42


/ ......................................................... 43
( DDR).................................... 50
. SERDES CDR......................... 50
V

2.9. - .... 129


2.10. ........................................................................................132
- ........................................................................... 133
................................................................................... 135


2.11. ............................................................................................... 138
, "1 N"................................................................139
, ()....................... 141


3.
( )............................................................................................................ 143
3.1. ( ).
. ..............................................................................143
............................................................................................ 144


..........................................................................147
....................................................................................... 147
3.2. ................................................................... 149
3.3. .........................................................................162
3.4.
.........................162
................................... ......... 162

................................................................... 164
.................................................................... ....................... 165


............................................................................................166
3.5.
.............................................................................................................................. 167
.......................................................................................... 169

............................................................................................... 172
3.6. ................. .......................................... 179
................................................................................ 180

......................................................................... 182
............................................................................. 183
PLL DLL,
.............................................................. .................................................. 184
..........................................................................................188


..................................................................................................................190
...........................................................................................192
3.7. ............................................................................ 194
........................................................................................................ 195
................................................................................................... 197

..............................................................................................198
3.8. . ......................................201
............................................................................................202
.......................................................................... .............................. 202
.............................................................................. 206
VI_________________________________________________________________

3.9. - ..........................208
..................209
............................................. 212
3.10. .............................................................213
..................................................................................................... 213


"1 N"................... ........................................................................... 214
"1 N" ................................... 215
"1 N" .....................................218


3.11. ...................................................................................222
()...................224

4. ................................................................................... 227
4.1. . . ............................227
............................................................................................228


............................................................................... 229
................................................................................ 231
............................................................................ 236
.............................................................237
4.2. ............................................... 237
2D....................................................................................................................237

3D............................................................................... ....................................238
2DM................................................................................................................241
.................................................................................................. 242

...................................................................... 244
-...................................................................................................................... 247
4.3.
............................................................................................................................... 252

................................................................ 252
.......................................................................................253
......................................................................... 253

( DDR Double Data Rate).


QDR (Quad Data Rate).................................................... ......................... 254
.......................................................................254
.............................................................. 255
4.4.

" " ( ROM(M), PROM, EPROM, EEPROM).......................... 256


....................................................................................................................256
PROM.................................................. ...............................................................259
EPROM, EPROM-OTP EEPROM............................................................262
ROM............................................................................................268

4.5. -.............................................................................................................. 269


.................................. 271
-HE -...................................... ............................271
-.......................................................274
-........................................................................ 275
___________________________________________________________ VII

-................................................................ 276
- ...........................................277
- ()......................... 280
-


( StrataFlash .).................................................................................. 283
- ............................................................................. 284
4.6. EEPROM Flash.................................................. 285


4.7.
...................................................................................................... 287
() ................................ ........ 287
.................................................................................288

.....................................................................................................................289


4.8. ..............................................................291
.......... ......................................................292
....................... 293
...................................................... 297

.......... ........................................................ ............299

NV-SRAM.............................................................301
4.9. ................. .303
.............................................................................................. 303

-...............................................................................................307
..........................................................................307
..................................................... 308
............................................................................................... 309

4.10. ............ 311


4.11.
.................................................................................................................... 313
FPM, EDORAM, BEDORAM................................................................... 314

MDRAM..................................... ......................................................... 316


SDRAM........................................................... ................................... 318
DDR SDRAM............................................................................ ........ 320
RDRAM.............................................................................................. 324
CDRAM............................................................................................... 329

() .... 329
RLDRAM.................................................. ......................................... 331
FCRAM...............................................................................................332
.......................................................................................333
........................................................................... 334

4.12.
(FRAM, PFRAM, MRAM, OUM)...................................................................................... 335
FRAM ()............... ........................................................335
PFRAM (-)................................................337
MRAM ()......................................................................338
VIII

OUM ( ).........................340
.......................................................341
4.13. ................................................................................. 342

5. /


.................. ........................................................................ 345
5.1. .
............................................................................................ 345


................................................................... 349
5.2. .
............................................................................................... 354
................................................................................................................356
.................................................................................................... .357
........................................................................................................................ 358


5.3. .......................................... 359
182185...............................................................359
............................................................................................................... 361
.............................................................................362
..................................................... 363
............................................. 367
......................................................................................................369

....................................................................................................... 373
..................................................................................... 378

5.4.
........................................................................... 380
....................................................................................... 387
..........................................................388
/............................392

/................................. 394

6. .................................................. 397

6.1. ............................................................ 397


...................................................399
,
.............................................................400
6.2. ................................................ 401

............................................................................................... 401
........................................................................................................403
6.3. ......................................................................... 404
......................................................................... 404
...................................................................410

6.4.
................................................................................................................................ 419
............................................................................................................... 419
()........................................................... 424
UART.................................................................................................................. 433
_____________________________________________________ ____________ IX_

SPI..................................................................................................................434
l2C...................................................................................................................437
6.5. .
................................................................................................. 439
....................................... .................... 439


........................................................ 440
............................................................................................................... 443
..........................................................................449


6.6. ............................................................. 450
....................................................................................... 451
................................................................................. 457
..............................................................................458
6.7. .......................................................................................................................459
, .................................................. 459


54 ....................................................464
6.8. JTAG........................................................................... 471
JTAG ..........................................................471

7. ................................................................................................ 479
7.1. ....................................................................................................479

7.2. ............ ................................................................... 482
'7.3. ................... 486

AVR............................................................................... 486
, ......................................488
...............................................489
................................................ ........... 490
...................................................................................................... 491

.....................................................................491

8. ,
, ..................... 493

8.1. ................................................................................................... 493


8.2.
( )..................................................................................... 494
...............................................................................................................494

........................................................................................................ 497
.....................................................501
.............................................................................................. 501
......................................................................... 502
........................503

........................... ................................................................................... 506


................................. 507
( 1556)........................................................512
..................................... 515
........................... 516
X

8.3. (
)....................................... ........................................... 520
......................................................................................................... 520
............................. ....................................................................... 523


........................................................................................................ .....528
................................................................................. 529


9. /
(CPLD, FPGA, ).............533
9.1. ......................................................................................................... 533
-
............................................................................... ....... 535
..................539


......................................................................................... 541
9.2. (CPLD)......................... 543
CPLD.......................... .................................................................... .............. 543
CPLD.....................................................................................544
CPLD ( ) ....549
/ CPLD........................................................................................... 551

9.3. (FPGA)................ 553
..................................................... ................................. 553
FPGA................................................................................................ 554

/ FPGA........................................................................................... 559
FPGA...................................................................................561
FPGA......................................... .............................................567
9.4.

........................................................................................................... 569
tbHC 1 ........................................569
..................................................................................................... 571
........................................................................... 576
.............................................................................................578

/..................................................................................... ..................580
9.5. - .......................... 580
............................................................................................................... 580
.................................................................... 581
.............................................................................................583

10. " "................591


10.1. ...................................................................................................... 591
IP- " "................................. 592

SO PC......................................... .........594
SOPC............................................................................................ 596
10.2. " "
( ).............................................. 598
APEX 20//, APEX ............................................... 598
Stratix........................................................................................................... 601
XI

Virtex /, Virtex II, Virtex II Pro............................... 604


SOPC .............................................608
10.3. " "
().................................................................................. 609


SOPC, ...................................... ....... 609
SOPC ....................................................... ........ 610
FPSLIC Atmel................................................... ............................611


SOPC Triscend................................................................................. 617
SOPC Altera......................................................................................619
SOPC ........................................620

11.
........................................................................................... 623


11.1. ........................................................................................... 623
11.2. ............................................................................. 626
11.3. ............................. ..................... 629
11.4. ..............................632
........................................................................632
....................................................................................634

12.
....................................................................................... ........................637
\

12.1. ....................................................... 637
12.2. ,
.............................................................................................. 642
12.3. .......................................................647
12.4.

.............................. .................................................................... 649


12.5. ......................................................................652
................ ............................................653

.....................................................654
................................................. 655
......... ...............................................658
- ..................660
....................................... 661

SOPC................ 662
12.6.
............................................................. .......................................................... 663
.....................................................................................................................663
- ................................664

.......................................................................................... 665
...................................................................................... 668
12.7. ..............670
............................................670
12.8. VHDL................... .................................................. 673
................................... 674
XII

VHDL............................................................................. 675
VHDL........................... 678
VHDL ................................................................679
...............................680


.............................................................................................................................. 681
VHDL-AMS........................................................................................695
12.9.


................................... 705
. ........................ 706
. ...................... 707
. ......................................709
AHDL VHDL
........................................................................................................................711


.
.........................................................................................................................718
. ............................................................................718
.
............................................................................................ 720
.
...............................................................................................................720

1.

................................................................................................................ 721

2. ......................................... 723

3.

......................................................................................................724
.3.1. .................................................... 724
.3.2.

................................................................................................................................. 725
.3.3. ........................................726
.3.4.
........................................................ ......................................... 729

.................................................................. ................. ............................................. 731


. ...................................748

........................................................................................................... 756

.................................................................................761
.......................................................................................................761
-................................................................................................................765

....................................................................................... .................. 767



.
: ,
,
. . ,


, ,
, .

- ,
.
.

(, ) ,

,

. ,
,

2/3 . 10


.
1999- .

3045% .

, .
, ,
,

.
(
, ,
.). ' , ,

,
, ,
, , .
2

. . 1: "
,
.
( )


.
, ,
".


. . 2 : ",
, ".

.
, ,


,
.
,
(
, ,
),



().

,

,
.

,
,
.
( "-",
2000 .) ,

.
.
,
, ,

,
, , ,

1 "", 15 2001 .
2 "", 25 1999 .
3


( 100 ),
.
,


,
" ".
, 2201
( , , )
,


.
.
,
" -
"".
. . ., . . . ,

12.512.9 6.8, 12.1 12.4.
,

.



()
(). (, 1959 .)


.
, ,
, .
: ,
, , ( , ,


). ,

.


(, -) .

, , ,
, . .
, . . .

.

(
).

, . . /
.

.
/ .

,
. (),
.
,
.

.
/
, ,
.
6

,
.
.
.
.


,
, ,


. /

. "",
.
.
, ,


,
.
, /
,
, ,
.

-
. ,
,

,
.
" ",
.


,
.

.
,

() .
,

. .
(
, ,
,
, ,

).
/. ,
,
.
1



1.1.






0

1.
.
(. 1.1, ), . .
,

,
( ),

.

10



11


12






1



13

; ,
.
,
, .


,
( ).



,
,
.


, ,
.
, , .
, .
,
. ,

,
, .

,
, (, . .
[26]
1554).

1.2.
(, , )

:
;
();
;

().

, - . .

14 1

, .
,
.
()



, ,


(. 1.4, , ).
,
. -, , . .
, , ,
, . -,


, ,
"".
,
.


. 1.4. (, )
()



.
("").
, . . 1 2 ,

. -

, ,
(. 1.4, ).
, -
15

- ,
.
,
.


( Z)
0 1 "",
. ( Z-
) ,


(1 2 . 1.4, , ).
(Output Enable). ( = 1)
, ,
( = 0) "".
. 1.5 ,
.

, 4 , ,
1 2,

DO .
4 DO "".


. 1.5. -


.
, -
16 1

L-, ,
. 1.6 .

17


18






1



19


20






1



21


( )


()
(


), .
,
. . 1.10
.

. 1.10. ,

OD (Open Drain, " ")


,
(Output Enable, " ")

1 2.
- -HE, 1
2, .

.

OD 1 ,
, 2.
( )
1 ,
2 , . . .
22_________________________________________________________________ 1





1.3.
-



pull-up- pull-down-

,
( ).
,
,
. ,

,

. ,
( ),
, ,

.
,

. . 1.11
, -,
, .
__ 23

24



25

26_________________________________________________________________ 1

"".
.

1.4.

27

28____________________ ____________________________________________ 1

1.5.

. .

( )
,

. ,
-
.


(Cross talks)
, .
,
.
_ 29


30






1



31


32






1



33


34






1



35


36






1



37

,
(())
. ,


20.

, , . .



, . . ,

.
.





, . .
-

( ),

. ,
. ,
:

,
. ,
,

. .

, . .
.

(),
.
, ,
.



( ).
38 1

, /,
,
.


(" ",
,


, ).

.

"" , , , -
, .


(. 1.19, )
, ""
. .

. 1.19. (),
(),
()
39

40 1

, ,
,
.


2 0,05 2:
100 ; 0,35 /;
0,15; 6 /.


. 1.19,
. /
(Output Enable), SRC
(Slew Rate Control). ,
-


, .

, .

.

.

.
,

,
, .

.

,

.
. .

- .
/,
0,25 ,
, 0,18

70% .

, ,
"" ( ).
,
,
. ,
.
__________ 41_


, . ,


. ,
,


.
, . .
,
.
,


.
( )
( )
.
.


(
), -
, .

,
.
. 1.20 (,
) (,

).

42



___________ 43




+5

. 1.21. " "

/


.


/,
(, ,
, ).

/ / ,

""

.
/
.
,
,

.
,
. . 1.22
( ) ,

( ) -
-
( ) .
, -

. 1.22, . -

44






1



45

46



47


48






1



49

50 1


( DDR)


, .
, , ,


200 .

. (
) DDR (Double Data
Rate). (SDR Single Data Rate)
,


.

. DDR
.
,
.


,
DDR LVDS,

.
3 / 6 /.
.
, ,

. , ,
DDR , . .
,

. ,

.
.

.
SERDES CDR
()
.

,
. ,
,
,
.
51

,
- .
, .



,
.

.
, . .


. ( 0,1 )
,
.
,
,
.

,
. SERDES (Serializer-Deserializer).

SERDES

. ,
, .


. 64-
. 64
16, ,

, 48 ,
, .
, . . ,
64- , ,

. , ,
1,6 ,
64- , 200 .

, ,

SERDES ,

.


52 1

, .


,


.
CDR (Clock-Data Recovery),
,



. CDR . 1.25.

. 1.25. CDR

CLK1 CLK2
, , ,
. PLL (. 3.6)
W. MUX1

. ,
,
, CRU (Clock
Recovery Unit). CRU ,
.

DES (Deserialiser),
SIPO (Serial Input Parallel Output).
DES J
CRU, J.
FIFO (First In, First Out; ,
53

),
, . MUX2
.



, CRU.
FIFO


. PISO
(Parallel Input Serial Output) CLK1 (W/J)
CLK2 (W/J).
CLK1 W CLK2 W.


1.6.

,
,

: ,
, .




,
.

, : ,
, . .
:
;

;
;
RC-;
;

.

RC-.

.

54






1



55

56



57


58






1



_____ 59


60







1


____ 61


62






1



63

64 1

.
( 70
100 ), .


1.7.



-

/


.
.
"
" ( ) ,
, ,
.



"
.
, , ( )

.
, ,
"" .
: "" (. .

),
. ,
(. 1.33, ).
,
.

(- ) :
. ,
,
, ""

.
() .
, . .
, ,
, . ()
65

66



67

68 1

., ,
,
- .


:
,
.
-, -HE, ,
, (. 1.35, ).
.

. 1.35. ()
(, )



,
,

,
.
( ),
,
. . .
69

-
(. 1.35, , ).
,


.
, .


, ,
.
.



( )
. 1963 .,
1015 .
,

,
, ,
,

.
,
, ,
.


.
.
, , ,

.

( ),
.




.
.


( ). - 1990- .

(
).
70 1

( ,
), ""
.
(Single-gate


Logic). , ,
,


,
. , 14
4 2- (. 1.36, ).

. 1.36. () ()

71

,
.
.
.



. .


, . ,
, ,
( )
(. 1.36, ).

( 3 ).


(5; 3,3; 2,5; 1,8
).

: [2], [3], [26], [44], [46], [65].







2.1.

, ,

,
.

,
.
( ),
( ).

.

(). .
,

.
.
, .
,

.
, ,
, .
,
,

.
.
,
( , 1-
0-).
74



75


76






2



77


78






2



79


, (
, )
.


- -HE ( ) .



.
.
(
), .
,


, ,
.

, .

.

[33] (. 6): " ,
, .

,
, , ,

, ".


. ,
,
.

,
.
, ( ,
, , .),

.
,
(, , , ).
,
.

, . ,
, ,
.
.
, , ,
, .
________________________________ 81

,
,
,


, .

2.2.


.
"1 N".
, .
, "1 N", 4 ,


82






2



83

84



_____ ____________________________ 85

. 2.6.

2.3. .

,

: "1 N" .

.
2 n .

.

- .
,
. ,
. -
86



87

88_____________________________________________________________ 2

2.4.


()
89


90






2



_____________________________ 91

2.5.


92






2



93

94



95


96






2



97

98



______ 99

100 2

2.6.

101


102






2



_______ ________________________ 103

2.7.

.

( ,
, .).
(. .
- , )

(. . - ,
, , ).
,

.
104 2

,
.
.


, ,
. .
.


,
. .
, ,
.
( ,


,
50%). ,
, .
, , () .

, .

, ,
"" .

" " .
,
. .
,


.
. ,

, ,
100%.
,
. ,

,
. ,
2 - .

""
, . ,
.
105

106



107


108






2



109


110






2



111


112






2



113

114 2

- 16-

1 555, 533. -
5060 .


.
(, , -


.).

2.8.
( )


.
- (),

.

,

. ,
:

;
;
;

;

;

;
;
;

.
,
,
.


(
) ( ).
_________ 115


116







2


117


118






2



119


120






2



121


122






2



_____ 123


124






2



_______ 125


126






2



127

128 2

. 2.34.



. 2.35.

,
, .
3
555,
(. 2.36),

.


, 2.9.
______________________________________129




2.9. -






130






2



131

132________________________________________________________________ 2



2.10.

133


134






2



135


136






2



137

138___________________________________________________________________ 2

2.11.
,
,
.
139


140






2



141


142






2


( )
3.1.
( ).

.

,
() .
, "",
.

(. 3.1). , 1
, 2
, .

, 1
, 2 .
.


144






3



( ) 145

146 3

, .

( ).



. ,
, .


.

,
.


.

, .
.
.


.
.

. 3.3 , ()
.
,
.


. 3.3. , ,

"-" (Latch).
,
.
,
. MS (
. Master-Slave, . . """").
( ) 147


148







3


( )___ 149

3.2.


150






3



( ) 151


152






3



( ) 153

154________________________________________________________ 3

( )_ 155


156






3



( )_____ 157

158



( ) 159

160



( ) 161

162 3

3.3.

.


.
,


,
. ,
,
,
, .
, D



. ,
, . .
. ,
,
( ).
, ,

, ""
.

.
, .

.

.
,
.

3.4.




, .
( ) 163

, .
,
,


(. 3.19, ).
,
110 .


, . . .
""
.

( NOP ,


).
.


130

. 3.19. , (),
(, )
164



( ) 165

166___________________________________________________________________ 3

( )______ 167

, ,
.


,
( ,
). ()


() ,
.
. 3.22 ,
1/4 (. . 4),
1/2 .


( ).

3.5.






168 3

:
.
.
.


.
(. 3.23, ) ,
,



Y Q .
.

.


(. 3.23, 6)
( ) ,
.
.
,


.




,
, (-

) .

,
.
,
,
. -
( ) 169


170






3



( ) 171


172






3



( ) 173

174



( ) 175


176






3



( ) 177

(. 3.28)
.
= 0


0000
001.
. 0001,


010,
( ).
.
.
, , 010 ,
0010 1010


110,
.
,

.

3
"1 N"

, . .
, ( 1)
.
, , , ,

.
.
"1 N ( ,
One-Hot Encoding)

, . .
, .
,
.

, .
.

(. 3.29)
8.

,
.
"1 N"
. (
-HE). = 0 ,
= 1 .
178 3


- .

. 3.29.
"1 N"


. ,

". ." ,
.

,
10000000. ,

(. 3.30).

. 3.30.
"1 N"
( ) 179

3.6.


,
,


.
,

.
.


.

, .
, /
,
,

.
,
().



,
(. 3.31, ),


(. 3.31, ).

, ,
. . .
.

.
(. .
)
( ,
).

. ,
tKU min tKU.max.

.
.

180






3



( ) 181


182






3



( ) 183

:
;
;




. ,
.


, ,
, - ,
.

(. 3.35),


.
, -
- (, ,

. .). ,


.



184






3



( )__ 185

186 3


, , . .
,


.
, ,
,


.
, ,
. PLL DLL,
,
(,


30-35%).
PLL DLL "
,
( Clock Skew). PLL . 3.37, ,
/
, , .

,
.

"" (
2). ,
.
, ,


.
, .

, .
,
.
. , ,

,
.
, ,
3.4. ,
, ,

,
, .
,
,
.
. 3.37, .
( )___ 187

188 3

, ,
.
, ,



(Jitter). , ,
.


, N,
PLL N.
PLL DLL ,
,
.


. , ,

( CDR, Carrier Recovery Approach). CDR
9.



(Self-Timed Design),
, . .
( ). ,

"" , . .
.

.

.
, , . .
, . . ,

. ,

,
,
"" ""

( ).
,

,
.
( )____ 189


190






3



( )____ 191


192






3



( )___ 193

194 3

3.7.
.


, .
: , , ,
, .
, ,
, .


, ,
.

.
() , ()
.

. ,
.

. , . .
.
( )
( ).

- /
. ,
(SIPO, Serial
Input Parallel Output),
(PISO),

.
()
.
, /, , . .
. , D
( ) 195

, R
, EZ, . 3.41.

. 3.41. ()
()


D-, .

,
, . . /
.
( ).



. 26 ( 1533, 555 .)
4

196






3



( ) 197


()


, .
(. 3.43, )
.


(DSR Data Serial Right). . 3.43,
( DSL Data Serial Left), . 3.43,
,
,
( ""


"" ).

. 3.43. (), ()
()
198 3

,
, , -
, ,


,
, .


, 3.6.
, ,

,
.



.
,
, . 1
, 2

, , .


.

/
( 30).
() ,

.
,
. ,
, .

( )____ 199


200






3



( )__ 201

, 0D1D2...D7.
, ,
( ).


Q7.
( ""), .
, 2


. 2
, 1
,
.
, ,
.


,
, RS-.
S-
,
. S-
. , Q = \/.

S-
, ,

. .
,
, R .
2

3.8. .


"" .
,

,
.

( ).
, .

,
, .
().
.
, .

202






3



( )____ 203


204






3



( ) 205


206






3



( ) 207

208 3

3.9. -

( ) 209


210






3



( ) 211


212






3



( )____ 213

, , , -
,

. 3.55, , ,
1001 = 9, . . -.

3.10.

"1 N".


70- XIX ,
. 50- XX , .

8 * 904

214






3



( )____ 215


216






3



( ) 217


218






3



( )___ 219


220






3



( ) 221

222 3

,

. .


,

, ,

3.11.

( ) 223


224






3



( ) 225


226






3


4.1. .
.
()
.
40%

.
, .

.
. ,
,
,

.
,
.

:
, ,
,

;

-, ,
. -
;
(, , ),


.
;
,
(, , .);

228






4



_____________ 229


230






4



__________ 231

232 4

. 4.2. ()
233

. 4.2. ()

EEPROM , , E2PROM (Electrically Erasable Programmable ROM)


,


- ( )
( ).
EPROM, EEPROM FLASH .
PROM, EPROM EEPROM
. PROM EPROM

. EEPROM

, .

FLASH EPROM EEPROM,
,
.
RAM . RAM

, ,
.
RAM ,

-.
, (
) , .

, RAM,


.
,
,

.

).

SRAM (Static RAM), DRAM (Dynamic RAM).
234 4

( .
, . .

.



, ,
.




.

.


, .
(, CS
).

,
,

. ,
.

, ,
(
, ).
.


DDR (. 1).
()

. .
,
,
.
.


,
. .

,
. .
4.11.
45

______________ 235

. ,
-, FIFO LIFO,
,


. .


.
, .
,
.
FIFO
" " (First In First Out),


.
FIFO , FIFO
, . .
( ).

, .


- .

,
().
.
,

.
(VRAM).
,
" ".

LIFO (Last In First Out).



.

.

, ( ).

, ,

( . tag) ,
( ). , .
, ,
.

.
236 4

-
.
,
.



, . .

.
. 4.2, .


, ,
(FRAM, Ferroelectric RAM),

. ,
, , EEPROM, FLASH-.
MRAM (Magnetoresistive RAM).

MRAM ,

. ,

MRAM
. MRAM
. MRAM , FRAM,
,

.
-
(PFRAM, Polymeric Ferroelectric RAM).

( ),
.

.
PFRAM

/.
PFRAM . ,
PFRAM .
OUM (Ovonics Unified Memory)
, - (CD,

DVD), . . ,
OUM -
(GeSbTe),
.
.
___________ 237


-, 2003 . :
DRAM ~ 58%;
SRAM ~ 21%;


FLASH ~ 13%;
EEPROM ~ 5%.
97%
,

4.2.



,


.

ROM.
. 4. ROM

2D, 3D, 2DM .

2D

2D (. 4.3)
= m,
; ; m .
DC CS

(Chip Select) ,
, ,
.
( ,

/).
. /
R/W (Read , Write ).
2D
, . . ,
238 4


( ).

. 4.3. 2D

3D
3D

.
ROM (. 4.4, ),
.
,
. ,
______ 239

. 4.4. 3D
()
240 4

. 4.4. 3D
()

:
2D 1 1024
, 3D 32

. 3D
, .
3D, . 4.4,
,
(. 4.4, ), "" .

,
.
, .
3D ,

2DM

.
241


242






4



243

244 4

N .
.
, ,
, ,


.
(
), ,



.
( , ).



( ),

.
,

FIFO ( LIFO).



,
,
(, ) .

, ( ,
,
,

). , ,

.

.

, 24- ,
16 .

,

.
, .

( ).
.

245

.
( )
,
.


.
(. 4.7)



,
.
,
. ,


, .

. 4.7.


MUX
.
( ,
. 4.7 ).


.

.
DI, -
246 4

MUX .
CTR, , ,

.


). MUX
,
.

FIFO


FIFO, . 4.8,
()
, , .
, . .

.




. 4.8. FIFO

,
, ,

,
, , .
FIFO,
. ,
.
____________ 247

248 4

, , .

( ) .


- ,

.


-
, ,
(), ,
.
- (FACM, Fully Associated Cache


Memory), . 4.10,
, "" ,
.
""
Hit.




. 4.10. -

Hit = I ,
(Hit = 0),

-.
___________ 249

, ,
- ( Hit = 1 Hit = 0).


, .
FACM


, .
-
, . .
-.
FACM -,
.


- -
- (
). ,
- (Cache Line)
(. 4.11). .
.



-, , [27]
, , , .
,
, ".

,
-,
.


250






4



_________ 251

,
,
(), .


,
, .
- ( )



(. 4.12, ).
, .
. ()
. -.


.
. ,
, Hit
.
. ,
.

-
. ,
. . 4.12, 128.

.
,
, . .
,

.

FACM -

(-).
,
, (. 4.12, ). -
, , . . 2, 4, 8, ...
. . ( 2).

, (
64).
.
, .

- :
, . .

.
( ). ,
.
252 4

.
.
- - . 4.12, .


, -
( ).


, . .
.
, LI ( Level
()), - ,
L2 () .


.
- SRAM
-.
Power 3 IBM
- 32
64 128 .

L2 Power 3 256- .
L2 1 16 .

4.3.


.
,

,
,
. ,
, ,
.


.
,
.
.
() .
253


, .
, ,
, .


.
.




.
. ,



. ,
.
( )
( ).
,

( )
( ).


, .
,
, ,
. , ,

40%.

.
,
, .
, ,

. , . .
( ),

( ),
.
254 4


,
,
. ,


5-2-2-2 5-1-1-1 4.
, 5 ,


2 1.

(Timing). ,
,
.



( DDR Double Data Rate).
QDR (Quad Data Rate)


, . . / . ,
,
.

-

( SDR, Single Data Rate). DDR (Double Data
Rate) no ,

. ,
( )

. , DDR

, .
QDR (Quad Data Rate),
DDR .
,


(Multibank Memory, Interleaving

Memory)
,
, . .
.

__________ 255

256 4

, .
, ,
.




. 4.13. () ()

4.4.

" "
( ROM(M), PROM, EPROM, EEPROM)
" "

, ,
.


.
" "
( 8-, 16- 32-),
2DM.

2D.
, (), , n- .

ROM(M)
()
.
( )
, , - . .
_________ 257

ROM(M) (. 4.14, )
, .


258






4



_________ 259

ROM
: 1
200 . ROM
128 40100 .


PROM


PROM

.
PROM
. ( )


. ( )
.
(
fuse). ,

.

.
( ,

) (
).
, , .


,
.
( antifuse) .

(.
9).

,

.
, ,
.
.

. 4.15, , .

( 155) . 4.15, . 32x8.
32 9 (8
,









261

10100101.
, . 2D.



. . 4.16
() ,


.

, .
OEf ,
.
( = 0), F .
, ,


D7...D0. , ,
.

.
. ,
, . . , .
,

.



. 4.16.


( ).
,
556, , 0,50,7.
-
.


















264 4

,
,
, -,


.
,
.



,
.
, .
,


"- -
". FLOTOX,

( ).

(
).


( ).

EPROM,
EPROM,
EEPROM, .
(. 4.17, )

-
, ,
.


,
( ), , ,
,
. .



-n- ,
. , ,

, .

,
( ).
,
265

,

"" .
-


, EPROM .


,
.
.


.


( EPROM)
.
.
,
.
,

&.
, '

. -
(101000 ),
. .
.


FLOTOX, (
.).
(10

).
10

(FowlerNordheim).

FLOTOX Floating-gate Tunneling Oxide (


Extremely Thin Oxide).
, , ,

.


( ).
EEPROM , EPROM,
( -
).
266 4


, ( EEPROM
). "-"
,


( 104 106 ). ,
, ,
.


,
, .
- -.
,
.


p-, ( n-)

, .
.
EPROM
,

. .



EPROM-OTP (OTP One Time Programmable).
EPROM

. , . .
- .

,
,
. , ,
, , .


. 4.18.
""
. ,
,

, . .
Ucc
.
EPROM ( )
573 ,























. 4.20. () ( )

, . .
.
, ,

, .

4.5. -

- (Flash-Memory)
,
. -

.

-, EEPROM,
, ,
,
,
/ ()
, . .









271


, -
.
- :


( ,
);
.



.
(
). Boot-,
,
Boot Block Flash Memory. Boot-
,

.


(Flash-File Memory)
( ).

- -

- (
).
:

-HE (NOR);
- (NAND).
. 4.22.

,

.
- (. 4.22, )
F

.
, , ,

,
, .
272 4


, .





. 4.22. -HE () - ()

- (. 4.22, )

.

, , ,
, , . .
.
,

,
, .
,
-.

- , , -HE,
,
(). ,
, ,
, .

-
. ,
Boot-
Block.
ROM EPROM, ,
.







. 4.23. - -

-HE Intel.

, -.
- ,
, , -
274 4

. ,
.
.


0,13
1 . - - (NAND),
-HE (NOR),


(
2 ).
-
1 60
80 . -
,


-HE,
( 8090% -
). ,
-.
- /
( , ),

, .

-
-
.


(Erase Suspend). ,
. . .
-

.
, -,

.


/.
,
, ,

(,
2 ).
() .

(5 ; 3,3 .). ""
.
275


, .
, "-",


, ,
. ,
, .


.
EEPROM EPROM,
, - EEPROM
,
, EPROM ,


,
,
, ,
. -
,
.

,
. - 2003 90%

, 90% , 50%
. .

, -
, ROM(M), EPROM-OTP EPROM,
,

. -

,
, .
- .


- -,
. -

,
.
- :
, (
/ );
276 4

, (
/ );
, (


);
, .


,
.
. (, , )
, . ,
.
.


.
(
).
, .
.
.


/.

,
- , .
.
.

-
-

( Bulk Erase
).
(
Boot-Block Flash Memory Parametric Flash Memory),
(Flash-File Memory).


. ,
64256 .
64

64.
()
Boot-
/,
.
,
_____________ 277



















280 4

,
.

.


.
WE .


,
I/O
( DATA). ,
,
,
,


( 0 1
), .
- Boot-

: ,
() (Bottom).

. 4.24 Boot-.

-
()


.
()
.

,
( ,
, ,
).

() . ,
3 ,
3,35
0,60,9 , .

- () ,
,
,
, ,





































285

. ,
,
(. 4.27).




. 4.27.


.

,
. ,
.
.

,
,
,

.
,
, ,
, .
.

MLC
, 4 ,
.

4.6.
EEPROM Flash

EEPROM
286 4

Flash. ,

.


EEPROM Flash-
,
.



.
( ) , .
.
,


, .
5 :
, "", ,
.



. 8- 16-
, .
105106,

10100 .
, ,
1 SOT-23
5 2, 2 2.

. , 1,8
1 ,
(Standby) .

,
.

(Microwire, 12,
SPI).

SPI (Serial Peripheral Interconnect) 10 ,


,

.
,



.
10
8- , 0,8 ,
16-
_________ 287

1,6 .
,
.



,
.


. ,
, 93 Atmel
,
,
.
.



,
.

4.7.




.

.


.
.


,
.


()
2mxl m-
(0 1).

m , . .

. , 1024x1

10 .



















290






4



291



. 4.30.

4.8.



. -, ,

,
FIFO LIFO,
.
(SRAM) 2DM (

),
2D, 3D.

. .
((), 2, , -,
, AsGa .),
.
. --

, -,
,
, .
SRAM
64 8

1020 .
537
132 n- (
,
).

292






4



________ 293


294






4



______________ 295


296






4



____________________ 297

298 4

,
,
(,


). :
.
4.3.


SRAM
,
( ,

).
-


,
.

, - ( ).
(Synchronous Burst SRAM)


:
() CLK;

, ( )

( ,
);

.

.

(Pipelined Burst SRAM).


.
, ,
,

. , ,

"" 58
75 ( ).

. SRAM

(,
"").
ZBT (Zero Bus Turnaround), NoBL (No Bus
Latency), NtRAM (No Turnaround RAM) .
299

,
. DDR SRAM
( )


8 400 .
Intel (2003 .) 16
900 .


SRAM
. ,
Cypress Semiconductor (1,8 2,5 ),
, DDR,
, . .


300
4 18 36 .







.
.
, . .
.


, . 4.36, .

Ucc.
Ucc, D1 , D2 .

. D2, D1 ,
. . Ucc .

,
. 4.36, , .
Ucc ,

. Ucc ,
.
, .
.
(. 4.36, ).

300






4



_________ 301

NV-SRAM
NV-SRAM (Non-Volatile SRAM) ""


,
.
-.




. SRAM,
,
.
NV-


SRAM . -
-,

.
,
.

-


,
.
-
. -, ,
. -

.

. NV-SRAM



. 10 ,

( 0,7 ). ,

, , ,

100
( ).


( ).

.
. NV-
SRAM

302






4



_____________ 303





4.9.



































































____________ 311

,
, , "--".

, ().


, ,
. ,



. "-
-" ,
, .

4.10.


312






4



___________ 313

4.11.


. ,
.
,


.

. , ,
. -

314






4




















































































324 4

RDRAM
RDRAM (Rambus DRAM) -
Rambus.


, , ,


SDRAM.
RDRAM, SDRAM.
RDRAM ,
,
RDRAM
. ,


RDRAM . , RDRAM
,
. RDRAM
/ (
8- , 16-).
,

,
.

.

.
,

,
. N
N ,
.

.
RDRAM , ,
, .
Pentium 4 .

RDRAM Intel,
SDRAM
.
RDRAM (Base, Concurrent, Direct),


.
Direct RDRAM .
RDRAM - 13
, ,
. ,
_________ 325


326






4



327


328






4



329

330 4



, .


DRAM 20484096,

(WL-).


DRAM
, ,
, ,
, , .
DRAM,
-


.
.
. 4.49, DRAM,
, . . . . 4.49,
,
. DRAM

WL -
, ,

-
. DRAM.
-
- "" .

DRAM
50% ,
DRAM 35.

RC- () .
4 , . .
.
,


.
.

DRAM , , ,

.

DRAM ,
.
DRAM 5 .
___________ 331


332






4



_________ 333


334






4



__________ 335

64- (8-).
( ).
RIMM RDRAM .


,
. RIMM
, RDRAM.


4.12.
(FRAM, PFRAM, MRAM, OUM)


336






4



337


338






4



_________ 339


340






4



_____________ 341

342 4



4.13.



( USD). ,
.
.
, .


, 0,13 ,
0,050,07 .

,
, -
. , , Intel
,

90 (0,09 ).

1 2, 100 .

,
, ,
, .

.

, 1020

. ,
, ,
.

. 4.54.
_______________ 343

. 4.54.

2 , -
4 .
715 .
250 ,

600 1 ( 510 ).

816 .

: [18], [24], [25], [29], [32], [35], [63], [67], [IV], [VI], [X],
[XI], [XII], [XIV], [XIX], [XXIII], [XXV], [XXVI], [XXX].



5.1. .




, -,
.
,
.

,
, , , ,
.

() ( )
/ - ,
. , ,
"" ""
, ,

,
.
,
, ,

, .
(-).

(), , ,
/ ( ) .
,
346 5

,
.
Intel 4004 1971 .


.
, ,


, .
, , ,
- .
- ,
( ),
.


.
2300
108
. 200
, 5 ,
.

.
.


( ),
. ,

.

, ,
.

, .


,
.
,

.
( ),
.

.

,
, :
CISC-;
RISC-;
VLIW-.
/ 347

CISC
(CISC Complex Instruction Set Computer), . e.
.


CISC ,
, ,
,


.
RISC- (RISC Reduced
Instruction Set Computer),
. , ,
, (, 4 ),


. , ,
.
, . .
, (
), .

.

.
( 10 ) VLIW-

(VLIW Very Long Instruction Word),
(16 . ).

,

. ,
. VLIW-
.

,
,
, :
, . .

,

, . .
;
-

,
-, , ;
,
-
;
348 5


(),
,


,

;
()


,

;


.


.


( , "
" "", 2002 .,
).

.
, , : -,
; -,
,

,

.

.
"" "
" ",
, .
() /,

.

( , ,
.).

.
/ 349


-.
(),


(),
, .


"" (),
. "
"" (. 6).
,
.


(Address Bus), DB (Data Bus) CB (Control Bus) A, D,
. ,

,
, ,
.

. 5.1 .
,
.

, ,
. , ,
.


(ROM RAM), ,

().

-. .

CS (Chip Select).

(" ").
/ R/W (Read/Write),
,
. , . .
.

,
, OS .
, ,
' .

350 5

(. . ).
,
.


, ,
,
, ,


, ,
, .

.
TxD (Tranceiver Data),
RxD (Receiver Data).

. 5.1.


( )
.
IRQ (Interrupt Requests)

/ 351

. ,
, INT (Interrupt).
,



,
INTA (Interrupt Acknowledge). INTA


().
, ,
.
.
(DMA, Direct Memory Access)


. ,
, (,
) :
,
,
.


, (

) .
.
(PIT, Programmable Interval
Timer) ,

( ,
, ,
,,
- . .).

,

.
, . 5.1,

,
, (, ).
(
), ( ),
, ,

.

, (. . 5.1).
,
" ". -


















354 5

5.2.
.


, .
, ,


.
() ,

(), , N (. 5.3).

/ 355

, (
). ,


,
.
,


, . . .

, .
.
.



.

,
, .
.

-
.

. , ,
, ,
. ,
,

, .
()
"" .
( ),

.

. ,
,

. (
, ).

.


. ,
, . . .
,
. ,
, , ,
,



























/ 359

,
.


.
.


.
, ,
.
,
.

5.3.

Intel

. ,
8090%

.
.
182185 Intel 8085.
,

. ,
. ,
,
.

,
.

182185

182185 . 5.5.
(),
.
:

AC (Accumulator) -,
(
);
TR (Temporary Register)
;









/ 361

RF (Register Flags) , . . ,
, .
: Z (Zero) , (Carry)


, AC (Auxiliary Carry) , S (Sign)
, (Parity) .
(


) - .
.
.


,
, (
, )
. W, Z, , , D, , , L, SP PC.
W Z
.

, , D, , , L , . .
.

, -, D-E, H-L,
16- .
, D, . -L, ,
.
16- SP PC. SP (Stack

Pointer) . ( )
, . .
. ,

. ,
.

. LIFO (Last In First Out)
. LIFO

-
, . . , . .
, "" (stack)
.

,
( ).
PUSH ( ) POP ( ).
,
. SP
(. 5.6). PUSH POP SP
362 5

. SP ,
, ,
.



Push Pop

. 5.6.



SP 1,
SP 2, SP 2.
,
SP 2.


.
PC (Program Counter)

64 .
PC , ,
, , ,
.

13 .
, PC
, ,

.
W Z,
.
INC/DEC (Increment/Decrement) (. . 5.5)
+1 1.

IR (Instruction Register)
, ,
, .



,
( ).

























































































































































380 5

5.4.



5.2.
.
,
,
. ,


, ,
.
. ,

, . .
.



:

- ;
,

()

;

.

.



, , ,
= 210 = 220.

, .
.

.
. 5.5 ( ).
/ 381


382






5













384






5



/ 385


386






5



/ 387


388






5



/ 389


390






5



/ 391

392 5


,
. ( 256
256 )


.
/, ,
.
,
/.


.
(. 5.21, a) ,
,
. /
,
.
(. 5.21, ).




. 5.21. ()
()


,
. 5.3,

.
/ 393


394






5



/ 395


396






5


6.1.



.
, ,

.
. ,
,
() ,

.
,
.


() ,
.
.

, ,
. .

, . .

,
( ,
), (
) .

398






6



399

400 6


(), .
IBM PC/AT
() ISA (Industrial Standard Architecture).
80386 EISA (Extended ISA) MCA (Micro Channel
Architecture).
( ) .



VME (Versabus Module Europe), ,

, .
,
.

PCI
(Peripheral Component Interconnect) Intel, VL-Bus

(VESA Local Bus) . PCI ,
, (. .
),
.

:
,
;


, ,
(. . ,
);
.

, ,
.
Plug & Play.
33

32, 66 64 .
100 .
, ,
66133 ,
166 .
___________________________________ 401


SPI (Serial Peripheral Interface), I2 (Inter
Integrated Circuits),


USB (Universal Serial Bus),
. (
. .)


RS-232C, RS-485,
CAN (Control Aria Network).

6.2.


402






6



403

404________________________________________________________________ 6

6.3.


.
.
,
.
405


406







6


407

. 6.4. /

, ,
,

(. 6.5).
1 , .
, , , 1.

. 6.6.
408 6





. 6.5. ,



. 6.6.

,
.
.

1 2. -
409

Ucc R .
,
, 2
"".


,
, .


,
.
R "" ,
,
.
R,,to


(
).
. 6.7.




. 6.7.

4 2
3,

" ".
, 4 2
3, . . ,
" " ,
, .

410






6



411


412






6



413


414






6



415


416






6



417


418






6



419



6.4.




, ,
, ,
.

. ,


.

.

,
.

(. 6.13, )
(
),
( )
. ,

,
.
.
(-)
( )

420






6



421

, ,
(, ).
, 5 8.


5, 32
. , , ,


78.

ASCII (American Standard Code for Information Interchange),
.
,


, ( 96 , . . 32
).
-7 (
). -8.
, .
,

, ,
.


, .
,
.

. ,
,
.

:
.
. ,
.
.

,
.
, ,
,

. .

.
, , , 300, 1200,
2400, 4800, 9600, 14400, 19200, 33 600, 56000 /.









423


,
.


. ,
.
().


(-) , , ,
"1 0".
. ,
, ( -
),
( -


, ).
,
""
. (
1 0 1 1 )

.


, , ( 16 ).
CLK 8
, -.
, CLK 16 (. 6.14, ).

-,
.
,
.

.

.
. ,

. ,
, .
(),
- .
, , ,

.

.
, -
( ).
, ,
424 6

.
( Hunt ).
.


,
(
, ).


, ,
,
, ,
.
,
.


- -.
58 , 2
,
.


, -, ,
.

, -
( - -).

()

. 6.15 (PCI, Programmable Communication


Interface) 8251 ,
58051. ,

- (),
USART Universal
Synchronous/Asynchronous Receiver/Transmitter.
, ,

(UART Universal Asynchronous Receiver/Transmitter).


,
,


. ,
,
.
.
().
































































432







6


433

434 6

. 6.21. () () UART

(. 6.21, ) .

,

.

,
16
. ,
/ .

SPI
SPI (Serial Peripheral Interface)

.
,

.
SPI , ( )
. ,
435


436







6


437

438 6

,
, . .
.


, .
pull-up
. 12


. 6.23.

. 6.23. 12

SDA , SCL ,
,
. ,

SDA.
,
R/W, (/).
,

10 .
, , ,
SDA
.
,

.
STOP
SDA.
.
:
.
439

-

. -


,
,
( ,


).

6.5. .



, ,

(. 5.3}.
,

(Intel 8214, 58914 .),

.

.

().
()

. .
.
, .



INT ,
. .

, ,
, .
,
.




- (. 6.24).
440 6

. 6.24.

- .

. IR1IRn ,

INT.
, .
1. ,
, , STB1
,

2,
, . . .
, . .,
. , ,
STB



(), , Intel 8259, , -
441


442






6



443


444






6



445


446






6



447

. 6.29.

01
.
, 1 .
IRR, ISR.

02 .

, 02,
:

, . . ,
ISR ,
;

448






6












450_______________________________________________ ____________________ 6




6.6.





451

,
.
.


, "".
, , . .
, ,


, ,
.



. 6.32.

(
) ,

/
.

.


.
.


Intel 8237
58057 (. 6.33).
, .

1. ,
( ). t
2. , ,
,
.

452






6



453


454






6



455


456






6



457


458






6



459




1- 2-

. 6.36.

6.7.

, ,

.
. , ,
, ,
/ - ,

,
.
"-".


. , . .
,
( ).
- , ,

,
AVR,
. AVR 8515 :
0, 1 .
460 6

- ()
.
0 . 6.37.


,
MUX 8>1.
CS0CS2 .


"" ,

,
8, 16, 256, 1024.

. 6.37. 0 AVR

,
( FFH ) .


.
, .
.
-
461

, .
.
1 (1) -, ,


, .
, ,
(. 6.38).

. 6.38. - 1

, ,
( ). 1 ,
16-. 16- ,
16- 16- ( ).
462



463

-.
,
,


"" "" .
-, . 6.39.
,



,
,
. , , ,

. ,


. ,
. ,
,
. (
/ ,
-) .




. 6.40.

(. 6.40)
( AVR -

464






6













466






6



467


468






6



469


470






6



__________________________________ 471

6.8. JTAG

JTAG

, JTAG- , -

472






6



473

BSC (. 6.48, 6) D-
. ""
,


2,
. ""
(


) .

. 6.48. JTAG ()

474







6


475

476 6

TMS,
. -



, TDO.

. 6.50.

() BYPASS
/
, .
JTAG- TDI TDO
BYPASS
.










478






6




7.1.


480






7



481

482 7


, .


7.2.
AVR RISC-


. ,
RISC-,
(120 ),
RISC-
.



. 1 .
8 .
,
,

, . .

.
. 7.1 AVR AT90S8515.

,
.

, ,


: , , 8 ,
,
(2048 , . . 4096 ) 12-, -

16- ,
IR. RESET, ALE, ICP,
SPI UART,
- EEPROM,
. .

AVR 5
.
PC
- . -

IR, ( )

, ( )
SRAM. EEPROM
( . .).
SP -
483

SRAM,
. ()
.


... 7 ... 7

. 7.1. AVR
484 7

- ()

, . . .


AVR
, ,
().



. (X, Y, Z),
16-,
.
RF ,



(, , , . ).

.

RC- 1 (

5 ) . RESET (L-
) (
),

12 . ALE
,

. :


,
-, .
-

.

, , .
10 .

, ,
5. /,
, SP1, UART 6.
(, , )

.
/ AVR
. 7.2. .

,
. .
485

486 7

. UART
6 (. . 6.21).


,
. ,
. ,


, ,
. ,
, ,
,
,


. ,
, ,
.


, .

7.3.


AVR.

. 7.3.
, ()
.
.

(SRAM). -
EEPROM. ,
,
/ /
PC. 16-

( 64 ).
.

(32 32 )

/ (64 64 ).
X, Y, Z, 16-
.
96 . SRAM
512 . , ,
64 .
487

488 7

.
SPI, UART,
, , ,


, EEPROM.

,


,
( , .).
IN
OUT, .
( 32 ).


EEPROM,
,
.
. , .
512 ,
. ,

.
:

, , .
.
5 2 ,
2,7 4 . .

-,
16. 000
, FFFH, . .
4096 8192 ( - 416).

.
:
, 5 ,
10 ( 5
);

11
(6 5 );

,
.
489

X, Y, Z
:
( );


(
, );


(
);
(
).




.


.


. (
) .

,

. 24 .
,
.

, ,
,
. , ,

.

,
. RC-
,
.
, , .

,
. ,
, :
;
;
490 7

;
;
;


, 5, .

. '

, ,
.


[20], [34] Atmel.



. , ,

(Idle) (Power
Down). ("")
SLEEP,


, .
,
.


, , . ""
.



. ,
.
""

, ,
.
""
.

. ""
,

,
SLEEP. "" ,
.
491


, 001.
,


.
, , .
: , 1
( , , ), ,
0 ( ), SPI,
UART (


), .





EEPROM. :
.


.
SPI,
,
.


. 7.4.

492 7

,
XTAL1 XTAL2
RC- .


SPI ( SCK)
.^ 4-
MOSI.


MISO.
" -" " EEPROM".

.


: [], [14], [20], [27], [34], [VI], [XIV], [XXI], [XXXV].


,

8.1.

,

, .
, , ,
.
, ,

. ,
.

.
/ , . .
,
,
,

.
,
. .

( ).
, ,
,
.
, . .
/.
494 8

/
.


(PLA, Programmable Logic Array),
("PAL, Programmable Array Logic)


,
(GA, Gate Array).
PLA PAL
SPLD, Simple Programmable Logic Devices (
) , , PLD (Programmable Logic
Devices).


,
. /


, CPLD (Complex
PLD), FPGA (Field Programmable GA), SOPC (System On Programmable Chip).

8.2.


( )


1970- .


(. 8.1, ).
( ).

.
,
.
. 8.1 , ,
, .

, . .
.

, ,

.
, .. 495

496 8

. 8.1. ()

,
.
,
.
, ... 497

498 8

. 8.2. , (),
() ()
, ... 499


500







8


, ... 501


502






8



, ... 503


504






8



, ... 505


506






8



, . 507


508






8



, . 509


510






8



, ... 511


512






8



, . 513


514






8



, . 515


516






8



, . 517


518






8



, ... 519

,
.


.

2 ,



. , 2, ,
. 2
, D .
D,


D.
,
2
.
( ) 2
Q, . .

.
2 Q

2, . . Q,
. ,
,
2 .

, R (
Registered) ( Combinatorial),

Q ,

.
( ).
, ,
.


S (. .
), (. .
, ,

).
,
1800 .

4, 8 , 12 16 .
520 8

8.3.
(


() 1975 .

.
.
" "


.
,
.
/
. , /


, .
,


.
.

/
.
/ .

/
. ,
.


, .
.
GA (Gate Array),
. "
" , ,

/.


(),
().
. ,
, . 521

, -
(, .).
/,



.
, ,


.

,
.
( Amdahl Corp., )


, 13
. (
) (
) .
35 ,
/.

-
.
, . .

( ),
. .
1980- .
, , .

,
.

.

,
,
.

.

,
.
.
5001000 .

, ,
522 8

.

. ()
, ,


.
()
, .
,
.
(),


().
:

,
;


,
.

() ,
.
,

.

, . .
. ()

, . ,
, , .
, -
,

.
( , , ).
.
.
,

, .

2. 24, 40
.
, , -
, . 523

-, ,

, , 21


(
), .


() ,
(
- -HE). " "
.

.



. 8.20. ,
, (. 8.21, ).
()

1 2 .



. 8.20.

. 8.21, ,
(. 8.21, ).
,
-
.

524






8



, ... 525

526 8

,
,
. -,


.
(. 8.22).


,
, .

. 8.22.

(, )
.
, .

, ,
,
, , . .


.
, , .
,
.

, .
, ,
. , ,
.

(
26).
.
()
(
)
, ... 527

.
, .


(, "", . .).
,
, ,


.

, .
. 8.23 ,
.


, ,
, R0,
,
.
, . . ,
.




. 8.23.

. 8.24 .
- -
8
528


, . .
4, 8 10.
. 8.24


.

.
, . .
- -HE.
,

. 8.24.

( , ,
, . .);
( ,
, , ,

, . .);
- ( , ,
, . .);
(
, . .).
, ... 529

530 8

. ,


,
.
,

. 8.25.
, ... 531

,
, , .



.
.



1,5 3 .

: [7], [45], [47], [52], [VI], [XV], [XVI].


(CPLD, FPGA,
)
9.1.



.
/
CPLD (Complex Programmable Logic Devices), a

FPGA (Field Programmable Gate Arrays).

/
() ,
( Altera, ,

FLEX (Flexible Logic Element MatriX), . e. "


").
SOPC (Systems On Program
mable Chip), .

. 9.1, MPGA Mask Programmable GAs (


, . . ),
.

, ,
, ,
.
.
, , ,
.

534






9



/ .. 535


.
.



( ) -
. 9.2.
,


.
, . .
, ,

( ), (
).

, .
( )

.
( )
:
antifuse ( );

(. . 4.17 );
-,
("" ).

antifuse
.
. ( QuickLogic Actel)
. . 9.3

Actel. ,
( , 1 = 10~15 ).
,
.
"--", -

. Oxid-
Nitrid-Oxid ONO.


3 .
536 9




. 9.2.




. 9.3. ONO ()
()

( -
, +). ,
,
, (

5 600 ,
15 100 ).
( ).
40 .
antifuse
.
/ . 537

, ,

.


Actel
, QuickLogic
ONO


,
, ,
.
EPROM, EEPROM -
4.


. ,
,
(-)
.
. -
. , , EPROM

EPROM-
OTP (OTP, One Time Programmable). EPROM


,
,
.
/

EEPROM Flash.
, .
.


ISP (In System Programming), . .
.

(

. ,
,
).
, ( 10 5 10 6).

, ,
. 9.4. 2
,
2.
, 1 . /
, 1 0 .

538






9



/ .. 539


540






9



/ . 541


542






9



/ ... 543

9.2.
(CPLD)



PLD PAL () CPLD


(Complex Programmable Logic Devices). CPLD

, .

CPLD


CPLD (. 9.5)
(PIA, Programmable Interconnect Array),
, , / .




. 9.5. CPLD

544






9



/ ...______ 545


546






9



/ .. 547


548






9



/ .. 549

550 9

,
i-
.

. 9.9. CPLD

,
.
/ . 551


552






9



/ . 553

(GOE1,
GOE2), 1 0.


GTS1 GTS2.


5 3,3
(
9500, /
,
, 2,5 1,8 ).
CPLD 60020000


, 32512,
216
520 . CPLD , ,
, 7000 3000
Altera, 9500 Cool Runner Xilinx, 15 Lattice
Semiconductor. 7000

CPLD,
.

CPLD ,
EEPROM Flash.
, . .
, ,

.
,
.
CPLD FPGA

( ) .
,
.
, ,
, CPLD .

9.3.
(FPGA)


(
FPGA Field Programmable Gate Arrays)
.
(),
554 9

,
/ (IOB, Input/Output Blocks).
FPGA ,


, . FPGA
, & .
, FPGA ,


. 8.21, , , " "
, " " , ,
,

.
FPGA /


Xilinx, 1985 . FPGA
. FPGA antifuse
Actel QuickLogic.
FPGA . Xilinx
4000, Spartan, Spartan II,
Spartan , Spartan-3.

FPGA
.

FPGA
( )
:

(-, -
. .). SLC Simple Logic Cells;
;

,
LUTs Look-Up Tables.
"" (Granularity).
""(Functionality).

, "" ,
"" , ,
.
,

. 9.12, . - n- (
,
, ).
( ).
,
.










556






9



/ . 557


558






9



/ .. 559


560






9



/ . 561

562 9


.
.
, .


,
(. 9.16).

. 9.16. FPGA Actel


(
, . . ,
)


, .
.
- ,
, .
/ . 563

. 9.16 ,
.
, .
,


. ,


.
,
, ,

.
, .



, .
.
.
. 9.16 ,
1

2 .
FPGA Actel

. , . . ,
Unp.
.
/2.

,
FPGA.
.

,
.
, .
, Unp. ,

Unp. Unp/2,
. /,

,
. FPGA

, .
FPGA Xilinx. ,
(General-Purpose Interconnects),
(Long Lines), (Direct Interconnects),
(Clock Lines).
564 9


FPGA. FPGA,
, , .
FPGA, Xilinx,


. 9.17, .

, .

. 9.17. ()
(, a) FPGA 4000
/ . 565

(
) (
). . 9.17, .



. .
. 9.17, 6.


- -
, . ,
(. 9.17, ), . ,
6
.
, ,

. 9.18.
FPGA 4000 ()
566 9

. 9.18. ()

.
, . 9.17,
,

, .
. 9.18, .

( " " ,
,
).
(
).
/ . 567

Xilinx
: (
),


/ ( /),
,
. 8


, 4
, 6 ,
4 2 ()
, . .
24
18 (. 9.18, ).


FPGA
, ,
FPGA. ,
, FPGA

,
( FPGA). *
, . 9.19, .

FPGA
(
, FPGA ,
, . 9.19, ). FPGA

(SB, Switch Blocks)


(, Connection Blocks), .

.
() . 9.19,
.

,

.
, .
.
.

(. . 9.17, ).
, ,
(, , ).
, /,
VersaRing, .
. VersaRing







. 9.19. FPGA () ( )
/ . 569

,
FPGA
, DLL PLL, 1.


. 9.19 Clock
Manager.
, ,


JTAG .
. 9.19
, ,

.


FPGA Xilinx.

9.4.


CPLD FPGA
() ,
FLEX 8000 FLEX 10 Altera

(
).
, ,
Altera (APEX, Mercury, Stratix),

.
"", ""
(,

"" ). ,
, APEX Altera
1, FLEX 10,
.
Stratix Cyclone, .

CPLD .
FPGA.


1 . 9.20.
,
, .

570






9



/ . 571


572






9



/ . 573

,
. . " "


, 4 (. 9.22, ).

. 9.22.
() ()

574






9



/ . 575

. ,
, , ,
.

. 9.23.

576 9

,
. .



(. 9.24) 8 LE 1
LE 8 ,
,
.



(
)




. 9.24. 1
/ . 577

. 9.24 .
.
. ,
,


16 32 = 8 + 24 ,
"4-1",
.


,
,
.
,
FTI
FTI . ,


,
FTI
.


. /
.


/.

,

.

() ,
LE 1LE 8.
, .

, :
, ,
/ (
).

FTI (
) .
:
() 312 (

);
() 144312;
() 2452;
() 24.
578 9


1 (EABs)


.
, . . ,
(


).

.

, 256x8 4x4,


.
(24 )
,
, , . .

(, ).


, ,
.


FIFO, .
.
, ,

.

. 9.25.

RAM/ROM
D- () .
2226
. 1 2

. 2
1 8, 11 8.
46
( )
( ).


( 13).

( ) ,
.









580_____________________________________________________________ 9

9.5.

-

,
, .
, , . -
/ . 581


.
,


End-Front Design (, , -
, .). ,



.

.
-


, ()
. -
,
- , , . .
( )
.




. -
( ) (
Analog Devices, Intel), .
" "
-

.
-
, ,

.
(Lattice Semiconductor, Cypress Semiconductor,
Anadigm .)
-
, ,

, .

.
,
, . .
""

582






9



/ . 583

584 9

Lattice Semiconductor
(In-System Programmable) ispPACIO ispPAC20,
ispPAC80, ispPAC81, ispPAC30. Cypress Semiconductor


" " (PSoC)
.
Lattice Semiconductor


, PSoC Cypress Semiconductor
, . . ,
.
ispPAC (
/).


EEPROM
JTAG.
10000.
.
, -
( - ).

ispPAC ()
IA (Input Amplifiers), (Output Amplifiers)

. ispPAC30 . 9.27.
5 ,
( Power Down
),

.
" ", ,
. ,
/.


EEPROM, ,
.
EEPROM (Preset),
( ).

, EEPROM .
,
( ),
,

.
0 2,8 ,
1 10.
21. , 4
, ,
.
/ . 585

JTAG, SPI,

,


2,5

. 9.27. ispPAC30

(Output Amplifiers)
0 5 ( )
(Gain-Bandwidth Product)

15 .
:
( , ,
);
( );
586 9

(
).
7 ;


( ).

()


.
""
.
.
IA (Input Amplifiers) MDAC (Multiplying Digital-Analog


Converters)
,
.

MDAC,
,

. ,
1, MDAC

( 100% ,
).
, MDAC
. MDAC,

VREF
( ).
VRef = 2,5


. Vref
.
VRef
(0,064 ; 0,128 ...2,048 ; 2,500 ).

,
1 10 IA
128 MDAC,
.


. , ,
U MDAC,
,
IA ( 1U 10U),
MDAC .
/ . 587

11U +11U , , 0,01U,


2500 .


R
. IA, MDAC, VReF
.


, ,
.
IA MDAC .
IA R (
ispPAC30 R = 50 )
R, 10


0,1 R, . .

IA.
MDAC
R MDAC. ,
MDAC 50% ,

2R.
/


,
IA MDAC (
. 9.27 ) IA MDAC

.
.
ispPAC
, ,


.
ispPAC
. ispPAC80/81


.
, , ,
.

,

. ispPAC30:
IA 0 2,8 ,
,

588






9



/ . 589

10




" "


10.1.

,
500600 .

( ,
, .),
.


:

,
;
, ,
, ,


:
-
;

,

,
( ,
).


" " SOPC
(Systems On Programmable Chip) , , PSOC
592 10

(Programmable Systems On Chip), CSOC (Configurable Systems On Chip),


FPSLIC (Field-Programmable System-Level Integrated Circuits) ..
SOPC "
",


-.


,
,
.

,

" "
, ()
- . CPLD FPGA
,

" " ,
, " "
,

.

IP-

" "
" "
. .

,
500 -.

,
, .


()
().
,

.

.
, ,
.
" " 593


,
(,


) , ,
-.
. :


soft- . ,
HDL;
firm-, , , ,
.
, ,


soft-, firm-
;
hard-,
,
, ,
- .

soft- firm-, , hard-, ,
. Hard-

. Soft-
firm-, IP (Intellectual Properties), . .
,
, .
IP ""

- ( ).
SOPC
.

(
generic). SOPC soft- firm-

.

, . .
,
. ,
""
,

"", ,
,

SOPC. ,
(soft-, firm-),
, .
594 10

SOPC
().
. - , "
" (hard-


),
. , ,


,

. , SOPC
, ,
.
,


FPGA.
SOPC .
, SOPC
.
. ,
0,13 32-

300 , 3 .

SOPC
?
SOPC

,
.


. , soft-
, . SOPC
.
Hord- ,

. soft-
( ),

. ,
, " ",

, LPGA (
) 3,3 ,
MPGA 1,6 , 1020 .
( 2050%)
hard- soft-.
" " 595

hard-
( , )
,


. Hard- ,

,



.
SOPC hard-
,
.


, SOPC hard-
. ,
FPGA,
" " .
,
, . .

.
, -.
,

,
.
SO PC
. , ,


,
,


. , ,
,
,
( IP).

,
( ).
, SOPC
.
,

, . .
, ' -
.
? SOPC

596 10

? .
,
.


,
,
, FIFO .


, , ,
.
, ,
JTAG. JTAG
, ,
, .


PCI,
.
, ,
, ,
" " SOPC.


SOPC
.

SOPC ,
, ,
, hard-
, soft-. ,
, SOPC.

SOPC
.


.
, SOPC,

.

SOPC
1990- . -

. SOPC
, Altera Xilinx.
SOPC hard- (
Triscend, Atmel, Altera, Xilinx, Cypress Semiconductor .).
Soft- . SOPC Altera
soft- Nios. Nios RISC-
" " 597

, , 16-
16 32 .
50 MIPS (Million Instructions Per


Second). Nios
: -, UART, - ,
, .


-
LPM.
Xilinx SO PC soft-
Microblase Picoblase. Microblaze 32-
,, 125 .
soft- Power PC IBM.



. soft-
(, UART, .).
(Virtex II Pro) Power PC
hard-.
Hard- . SOPC

- 32- .
SOPC

8051
Intel AVR Atmel.
CISC-, RISC-. ,
. . SOPC.

32-

FPGA RISC- ARM, MIPS PowerPC.
, ,

1,52,0 2 . ( -).
200 ,
, 23 ,
.
.

.

,
.


( L1),
.
,
, FPGA,
.
598 10

.
: ARM CoreConnect IBM.
:
(, High-Speed Bus ASB, System Bus)


( Peripheral Bus).
, (


).
, .
CoreConnect
PLB (Processor Local Bus) (On-Chip Peripheral
Bus). ,
( ),


( 16 128).
PLB 66, 133 183 .

10.2. " "




( )

SOPC
Altera ( APEX 20), Xilinx ( Virtex), Actel (
proASIC), Lucent Technologies ( ORCA 4) .


, SOPC
. , Virtex -
Virtex /, Virtex II, Virtex II Pro,

APEX APEX 20//, APEX II.


.

generic ,
" ",
. SOPC ,

.

APEX 20//, APEX II



. 20
2,5 , 20 1,8 . 20 -
" " 599

1,8
(
Copper, . . ), ,


, . APEX II
0,15
1,5 .


3 ,
0,5 1,2 .
, ,
(1,6 / 624 /),
,
.


3.
, Multicore.

, . .
FPGA CPLD. .
APEX . 10.1.




. 10.1. APEX.

"", ,
:
LUT,
9.4 IK;































































" " 607

. 0,12 0,15

104882 .
( 11


).



, ,
. . Xilinx
soft- Microblaze.

420 , /
840 / .
-
.

. 1,5 LUT-
, 3
18 .
11, 82, 44, 29, 118, 512x36.
608 10

, DDR
SDRAM, FCRAM .
,


.
18x18.
, (


Active Interconnect Technology),
.
12
, DCM (Digital Clock Manager), , ,
1/256


.
Virtex II Pro.
. hard-
, SOPC,
.
Virtex 3.

, Stratix ( Altera) Virtex II,
Virtex II Pro (), ,


.

SOPC


SOPC .
era ( )

SOPC - .
ProASIC Plus Actel.
.
, -


, .
, SOPC
.
,

,
, ,
.
Eclipse QuickLogic
antifuse.
" 609

10.3. " "


()


SOPC .
, hard-, PCI,


, ,
. "" SOPC
.
SOPC hard-

.


SOPC,

SOPC ("") ,
,

. "" SOPC
SOPC

. SOPC
.
.
Lucent Technologies

.
(
0,13 ).

QuickLogic SOPC ESP


(Embedded Standard Products) QuickRAM, QuickPCI,
QuickPC, QuickDSP, QuickSD.
ViaLink antifuse
,

, ,
, .
QuickRAM (1998 .) FPGA
24 RAM, ROM,

FIFO 160 .
QuickPCI FPGA PCI.
PCI 32- 64 33, 66
75 . PCI
FIFO.
610 10

QuickPC Fibre Channel


2,5 / 32-
FIFO.


QuickDSP
ECU (Embedded Computational Units), .
8x8 4,53 , 16-


2,54 , 7,07 .
.
QuickSD FPGA
SERDES (Sexializer-Deserializer),
, .


6 8 SERDES.
SERDES
1 /. SERDES
,
. PLL 24 36
SRAM, 1218 QMAC -,


. 8 /,
. FPGA

,
, ,
., , .
SERDES

1, 4, 7, 8, 10 20 ,

.

QuickSD
.
.

.

QuickSD
.
"-"
600 , "-" 225 .

SOPC
SOPC 8-
32- . SOPC

" 611

CISC- 8051
Intel RISC- AVR Atmel.
32- RlSC-ripo-


ARM, MIPS PowerPC,
.
. " SOPC" 10.1.



.
.
: ARM CoreConnect IBM.
.


SOPC hard-
Atmel Triscend. Atmel SO PC
FPSLIC (
1999 .), Triscend
5. SOPC hard-
, , Altera (

Excalibur), Xilinx ( Virtex-II Pro).

FPSLIC Atmel

FPSLIC (Field Programmable System-Level Integration


Chip) Atmel AVR FPGA
AT40K, FPSLIC.

SRAM. FPGA
.
:

;
;
FPGA.


.
FPSLIC . 10.6.
AVR (),

7. FPGA
40 10 40
2 18 ,
100 .
FPGA,
(. 10.7).


















614 10

(
):
( );


DSP/Multiplier;
;


( Tristate/MUX).
,
, ,
,
. FPGA


(UART, PCI .).


, .
convolver.


(Direct Connects),

(
, FPGA
, ,
). , ,

.
FPGA 6 2 .
AVR,

,
,
AVR ( .).
40 FreeRam

10 . FreeRAM
FPGA .
FreeRAM
, - RAM, FIFO .

.
FPGA
-. ,
, .
4 , - 8.
(Repeaters),
" " 615

.
.
- (Pass gates),


.
SOPC. SO PC


.
FPGA AVR (. 10.8) FPGA 16
16
.
,


. , FPGA
16 ,
.
. ,
FPGA ,
. , FPGA

.



. 10.8. FPGA AVR FPSLIC

FPGA (. 10.9)
SRAM
15 . SRAM 36 .


















618 10

.

.
/ .

. 10.10. 5

SOPC

2568.
" " 619

32
;
DMA (Direct


Memory Access)
40 /.


. "-"

;
JTAG
,
5.


;
(In-System Debugging Hardware
Breakpoint Unit),
.
.
JTAG-;




/. ,
, 50 ;

.

.
CSOC Triscend
Intel

8051, .

SOPC Altera
, SOPC, 2000 .

Altera. SOPC
20 generic ( soft-).
SOPC hard-,
ARM Limited MIPS'Technologies.

soft- Nios
Excalibur.
ARM MIPS
APEX.
hard-
(MIPS) ( MIPS




























11



11.1.

()
,
, ,

. .
,
. .
,

.
,
.

, .
, , ,
, . .

(

). ,
,
(NRE, Non Recurrent Expends)
, .


.

/ (FPGA, CPLD, SOPC), . .

-
624 11

. ,
, .


,
" ",
.


,
, .
. ,
, ,
, . .
.


.

,
.
,

/
, . , -
FPGA ,

,
. , -
FPGA. FPGA
,

,
FPGA.

.

.
,
.

( )
.
, , ,
"-" .

, 30
70 . , .
FPGA,
FPGA
,
.
625

Xilinx
Hardwire FpgASIC, 100%
/


FPGA.
FPGA.


.
,
FPGA
, . , ,
, Hardwire


. .
-,
, -, -
,
, -,

ASIC ,

. , Xilinx
Hardwire

FPGA.
.
Xilinx
Hardwire Altera

, , ASIC,
HardCopy. ,


. , HardCopy
70%
pin- . ,
, AS1C

8 . ,
,
16 . HardCopy,
Altera,
,

.
Altera HardCopy
Stratix.
Stratix HardCopy 30%,
, 40%.
626 11

AMI (American Microsystems Inc.)


ASIC- , Virtex
Xilinx APEX Altera.


, AMI
, , DLL,
PLL, PCI, ,


/. XL-3 AMI
Virtex APEX 4 .

11.2.


, . .
,
().
( fuse antifuse}
(EPROM, EEPROM, Flash)
,
() .


(. .

).
(, 12 5 ),

.


,

.

, /
.
, ,
,

,
.

,
, .

/ ()
(
) , .

, .
627


.
SOPC Virtex.



, ,
.


,
, PROGRAM, DONE
(. 6.8). ,
, , .
:


(Slave-serial mode);
(Master-serial mode);
- (SelectMAP mode);
.


PROM
. ,


DIN.
.

DOUT ,

.

, DIN

.
.
, ,
DOUT ,
.

(
2,5 60 ). .
, ,
PROM .

- .
- ,
BUSY.
, ,
(CS) (WRITE). .
WRITE , .
628 11

,
,
, WRITE BUSY.


CS.


(Test Access Port)
JTAG. CFG_IN,

.
:
, ,


.
,
PROGRAM,
.
INIT,

DONE.


.
(ISP, In-System Programmability)
,
.

,
. ISP
,
,

.
,
,
, .

ISP ,
, ,
.
,

.
,

.

.
629

11.3.


,
, . . -
,
,
.
(Cloning), . .



.
.
(Reverse-
engineering), "".
, -

.


-
.
,
,

,
.
,
, , ,

.

. /,
(,

).
, . . , ,
,
. , ,
,

.

.

.
630 11


.
,


.
.
, "--


". .
( ).
,
, . . .
(
), ,


. ,

. , ,
, ,

, .


(EPROM, EEPROM, Flash)

. , ,
, .
,

(, ,

). ,
, . ,

,
, ,

.


. ,
,
,
.

,

.
,
,
,
631

. ,
,
, .



,
.



.

( ) ,
.


(
) :
, , .

,
.


(, ).


(,
). , ,

, .


.


, (
),
.


, ,
. ,
.
, . .



. .
,
.
632 11

11.4.


:
(,
, ),
;
(


);
(
);
(FPGA, CPLD,
).
, :

,
, JTAG

,
, ,
.
, (Density)

(Performance) .

( ,
) (
2-), .
,

.
, ,
.

.

PREP (Programmable Electronics


Performance Corporation)
. PREP,

,
"" .
633

^ 10 (,
. .).
, (
, ,


).
.


,

. PREP
.

(total)


(usable), . .
.
.
LUT-,
( ) .


, . .
. LUT-

,
, , , ,
, .

:

(
);


( ,
,
);

( ,
,
);
;

-
- , LUT-,
Altera . LUT-
634 11

12
, 16x1 LUT-
64 .


,
,


.

,
(, ,
2030% ).


, ,
(, , PLL).
,
.


( CPLD)
( FPGA ).
. ,


,
, ,
,

.

""

(pin-to-pin, corner-to-corner, clock-to-output)


( )
(
, Fcnt).
, .

.
, ,
CPLD
.
FPGA
635


(
16- ).


( , )
.



.
, (-1, -2, -3, -4, -5 . .). CPLD

,


12







.


,
().

12.1.

638 12

[31]
(,
, , , ),


,
(-, -,
, . .) .



,
,
() .


,
. ,

.
,
.

, , .
(
)


.
() ,
,

.
.
.


. .
(
). ,
. ( )


,
. ,

.
- .


[59], [60],
. 12.1.









640 12

(
"-")


, , ,
. ,


,
.
,
,
, .


[1]
, .

- . ,
( )
,

( ),


.

,
.


:
" " ( );

(
- );
"" (
Design Flow ).

,

. ,
.

,
.
" "
.
.
( )
, ,
641

.
,


.
, ,


.
, -
() ,
.
( ,
-,


,
.).
,
, - -

. ,

,
,

( , ),
, .
, ,
-

, ,
,
.

. 12.2.

, .


, . ,
-

.


, ,

,
.
642 12

. 12.2.

12.2.


, . ,

.

() .
643

(
)
,


. 12.3.

(. 12.3, ).



,

.
, , ,


, ()
, . .
(/)
(),
- :
(), -

().



, . . , .

, ()

. /,
() ()

, /

, ,
.
ASSP (Application Specific Standard Products).

. 12.3. ()
644 12

. 12.3. (, )
645

,

/,
.


"" "" { ,

).


,
,
.
,


, ().
.
MPGA (Mask
Programmable Gate Arrays). -
(LPGA Laser-Programmable Gate Arrays).
( )


. ,

,

.
MPGA '

, LPGA.
,
,
,

.
, .
()

(. 12.3, ).
ASICs (Application Specific Integrated Circuits).

" "
.

,
.
.

. ,
646__________________________________________________________________ 12


( . .)-
,


.
. , ,
,


.
,

. ,
.


,
. ,
, . .
, . .
.
, , . .


.
,

.
, .

.


.
( ).


34 , ,
(
. .),
.


. ,
, ,
. ,

, .

,
.
,
, ,
647

.
( ,
,


.),
.
/


1,31,8 .

.
/ (GA/SCI Gate-Array/Standard Cell Intermix),
,


.
,
.
, ,
,
, ,

, .
? " ".

12.3.

.
, (
), , .

.
,
, :
= + Cnp/N,

(
, ,
). ,
. . , ;
, . . ;

N (), . . ,
.
/
. ,
$500 .
50%









649

( SOC ),
- ,


.
( )
, . .



,

.


, ,
, . .
. Cnp/N
N,

.

.
,

/ . ,
32- $140 ,
$2 .

12.4.


,
,
.

: ,

,
.
, ,

,
.
, ,
.
, .
, , ,
650 12

, .
[2], [9], [19], [30], [38], [46], [65].


- .
:
, , ,


.
, ,
5, 6, 7.
[21], [27], [34], [37], [50] .


. [15].
( 30 )

,

.


.



.
,
.

,
,
.


.
,
.
,

.


.


. ,
,
, ,
.

651

..
. 12.5
.

. 12.5.


.
,

.
, ,
. .

.

, ,
, ,
. 1980- .

,

.

SOPC . -,
, -,
652 12

.
.



, -,
() .



, .
,
, ,
,


.
SOC -
,
- ( ,
).
SOC


.

, ,
, .

. :

;
;

, ;

,
, .

12.5.
(

) .

.


.
653

( ) ,

.


:
ASIC 20 ,


5 ;

0,5 ;

5 RTL.


, ,

.

, - ,
.


, .


(. . 12.2),
.

.
. .
.

.

( , ASIC, FPGA, CPLD
. .),
.

,
.

(, -, ,

. .).

.
.

654 12

(GPSS, Simula). ,
,
MathCAD, MATLAB SIMULINK.



,
Spice (Simulation Program with Integrated


Circuit Emphasis).


,
, .




.
( ).
() ,


,

:
;
;

.

( , ), , .

(
) ,
.
,
, .

, ,
.
.

( ,
. .).
( ,
,
,
, , , . .).
655

, ,

.


,
.


.
. ,
(
)
.


,
.




, ,
.


.

, ( )
.

, - .
, ,
.

,
. 12.6,
( HW (Hardware)
SW (Software)) .


.

.
,


. P-CAD, OrCAD [39], Protel
.
,
.
656 12

. 12.6.
657

, ( SOPC),
, ,
.


. ,

.



.
,
.
, C/C++.
- .


,
() ,
.
(),
.
,

. ()
.

,
. ,
,
.

-.
.

.


, ,
.
, .
,

--
.

.
,


.
658 12


() ().


(. . 12.5),
.
. 12.7.
.

, .


,
. . ( ,
, . .)
SOPC.

: .

, ,
,

. . .

, ,
.

,
.
, .


.

.


.
,
.
.

(Micro-Cap, DesignLab, Aplac, Electronics


Workbench )
.
.
,
-
659

( Pspice,
).

. 12.7.
660 12


,
.


Personal CAD Systems. -
LOGS


,

.

.
.


DOS , Windows .
DesignLab,
8.0 [40].

, ,
, , .


. ,



.

-
- (
SOPC) ( )

().

, . 12.7
. , , ,
, 15% ,

"" 80% .
.


.
661


.

( )


.
Pspice. ,
Cadence [VII],


,
.

,
.





, ,

,


,
.

.
, ( )

. ,

.

.

( Starter Kit)
( Evaluation Board
Development Board) ,

,
- ( Prototype
Plate).
,

.

, , , ,
. .
662 12


SOPC


,
SOPC. ,
, ,


, ,
.
, ""
.
,


.
.
.

( ).



(

, . .
).
,
.
,


.
,

,
.
.
JTAG

. ,
SOPC ,
() ,
.
, SOPC


.

, .
SOPC
663


,
(
), .



.
,

, .


12.6.

()
/

.
,

. , ( )
,

.

- .
. 12.2
" ".
,

, . .
(- Time-to-Market).

, :

;
, ;

;
664 12

"";
;
;


.
, ,


-
.
,
,
.
.


,
(
), ,
( ),
,
.


.

, ,
()
. ,
,

( ) () .
.
, ,
C++ [VII, XXVII].


.
.
- ()
- .


.

, ,
.
665


,
.
,


.
, (),


(-) .
.
:
. ()
, (


) ,
( ).
. ,
,
. ,
() .
,

, .


- ,
( ).
,
( , ),

.

.

.
,
, ,
.

().
,
. ,
, ,
.


, ,
.
666 12


,
.


, ,
.
,



, .
,
,
,
C++. , ,


,
.
.
,


, "" .
Synopsys [XXVII] 2003 . CoCentric SystemC
Compiler, SystemC

.

, ,
.

FPGA ,
.
.



( , ,

()).
,
. ,

.


. ( )
.

.
667


.
.
,



.
.




( EDIF, Electronic Design Interchange Format),
.



(HDL, Hardware Description
Languages) ,
, .



.


,
.
( ),
,
.

HDL,
.
.


( ) .

,

.

. PLDASM ( Intel),

AHDL ( Altera) ABEL ( Xilinx).


,
. .
CPLD FPGA .
66 8 12



(, . .).



,
,


.

.


. VHDL
Verilog. , ,
, . .
.

,

.


.
.

,
, .
- ( )
(

State Machins).

.
,

,
, ""
,
.
VHDL Verilog.


, :
, ,
, ,
;
669

(
, . .);
( )


;,


( ).

: StateCAD Version 3.2 Workview Office
Viewlogic ( Innoveda [XIII]) HDL Designer Mentor
Graphics [XVII].


StateCAD Version 3.2
(
VHDL Verilog ABEL, AHDL).
,
,
, ,

.
-


,
, .
StateCAD Version 3.2 Workview Office ,

(VHDL, AHDL, Verilog, ABEL . .),


,
,

.
HDL Designer
, ,
, ,
.

VHDL Verilog.
,
.
.

, , ,
,

, .

.
670 12

12.7.


.
1. .
.
,


.


, , ,
.
2. .


.

.

: ,
, ,

, ,
,

() .

,
.
, . .
. , ,

, , .
3. . , -
-
,

, .
.
.

.

671

.
.
:


.
( Test-Bench)
- ,


,
.

.
,



(), :
, ,

,
.




. ,
.
,
.
,

.
,
.



( ,
). ,

.

.
.

.
4. .

,

.
672 12


(
) ( ),
;


(
)
, ;
,
.




.

, ,
, ,

, .
5. .

.

, ,

.

.

, . .
,

. , ,
.

.
,
(
),
. Altera (Demo Board); PLD

Applications ( PCI Bus Evaluation Board); Xilinx, Virtual Computer


Corp., Video Software ( HOT PCI Design Kit) .
, ,
,
. ,
673


. , Quartus Altera
:


, ;
- ,


;
,
.
SignalTap Logic Analysis
(


)
,
.

JTAG
(Waveform Editor).




.

.
, ,
, .


-
, ()

,
,
.

12.8.
VHDL

,
VHDL,

.
674 12

VHDL 80- .
. ,
,


1985 . 1987 . VHDL IEEE
(Institute of Electrical and Electronic Engineers) VHDL-87.
, , ()


. (
) 1991 . 1993 . IEEE
VHDL-93.

. 1999 .


IEEE Std 1076.1-1999,
VHDL-AMS. VHDL
(-) .
VHDL , ,
. Verilog,


( ,
).

VHDL -,

()
. ()

. ,
(
),

, .
( )
,
.

(
) -.

( (:=),
(if), (case), (loop), ),
: , , , -
675

(, ).

.


VHDL
. Entity.
Entity


Declaration Architecture Body.
Entity ( ). Architecture
Body .
;
,
,


. ,
.


, .
VHDL- , ,

Entity Architecture Body.
(,

" "
(Configuration Declaration) ).
(Package)
(Package Body) , ,

. .

VHDL

VHDL :
,
(Library Declaration), ( )
, , ,

(Entity),
.
,

.

[8, 16, 43], [51, 64].



,
, ,
.
676 12

-
VHDL :


;
;



-
.
(Architecture Body)
, .


(
)
.
,
,
(component ... port),


(port ). ,

, ,
(entity).
,
. ,

,
.

. (generic) (generic

(for ... generate) (if ... generate).



,
(for .. . use) .

(signal) VHDL.

,
, ,
,
.
( ,
). ,
677

, (attribute), -
(<=)
(waveform).



(:=).
. (


)
VHDL ,
, (
),
.


VHDL
.
, , ,
,
-
. , ,

. (
)
(process) (block).

,
,
.
.

,
,
,

.
- .

(with...select...<=...when)
( . . . < = . . .when. . .else .. .when),

.
, (
wait .. after), ,
(wait .. until, wait ... for).

, , , ,
.

, ,
().


















680 12

, ,

.



,
() ,




. , ,
,
,


,
.
.




, '
?


( ), ,
,
.

,
, ,
.

,
.
,
.


, " " .
,
,
, ,


.
.
.
, ,
, -




































685


.

.


,
.
,
. 12.11.
, ( ) .


, Ld Write.
,
, ,
. ,
,
. Ack, Rd Wr. (

)
. ,

, ,
.
Busy. BusyC BusyR.
, .


,
.
.


,
.
,

( )
( )
(
).
n Ld. n

( ,
Wr ), ,
, . . .
,
.





. 12.11.


"",
"" "".
.

,
.
,
.
( )

.
.
.
VHDL ,
12.2. -








































































































































































12.9.


,
,
.

Altera, MAX+Plus II.









707

.
Strob.


Read,
.


Ready, ,
.

. Control
, .


.



MAX+Plus II
(. . 12.15), ,



(LPM):
( L P M R A M D Q ) 256x8;

(LPM_SHIFTREG);
8 (LPMCOUNTER).


, , . .
,
,

(AvtOutBt), ,
. 12.16. ,
.
, MAX+Plus II.
(, D-

2-)
.
,

.


















710 12

Idle, EndLdBt
WaitOut,
"-" ().


READ OutAck
- .


DFF 18 19 (. . 12.16)

( 12.8). DFF 19
21 ,
(. 3.3) DFF 18 20.

. 12.17. -



























































































1




. ,


(, . .). '

,

. high-end-
,
,

,
, ,

. .
(. 1)
,
.



2D
.
2DM ( 2D),
""
,


.
3D
,
.
86 Intel, :

8086, 80186, 80286, 80386, 80486, PentiumPentium 4.


,
, .
,

.
,
- .

,
.
,
( ).

,
.
,
(

)
.
() ,
()
(, ) .
732

,
().
,


(, Content Addressable Memory) ,
,
().


() /,
,

.
,


, (
" " " ").

,
( , ,
. .).
,

,
.
(FPM, Fast Page Mode)

, "" ,
(
).



,
.

,
.
() (. ).

.
733

.

,


.
(Soft-)


,
.

, .


, .
,

.

.

,
" ".


, ,
.

(Hold Time) (1)


,
; (2)

( ).

(Set-Up Time) (1)


,
; (2)



.
734

,

( ).


(Boundary Scan Testing) /
JTAG.



,
"1 N".


,
2, .
,
.
,
.


.

,
.
(Run-Time Reconfiguration)

,

.

(1) ,
,
; (2)
,
/

.

, .

(DRAM) ,
.
,

.
735

3
(Latch) , ,



.
(Granularity) /


, .


. '
,
.
,
.

,
.

(DDR-)
, ()
.
(QDR-) ,

, DDR-
.

, .

.
,
.


.
;
.
" " , -
.
736

"1 N" , ,
.
, One-Hot Encoding.


1 0.
,
.


,
/
. ,
.
.


,
.
,
.
() ,

.


, .

,

.

.
.

, ,

.

/
/ .
.
,
/


.
(Configurable Logic Block)
, (
) .
737


,
.
.
.


,
.
(),
() .
- ,

, .
- - -,


.
- -
.

- -,

.

(L1) -,
.
(L2) -, ,
. - ,

, - .

- . "

", . . ,
,
1 0. ,
( " " "
").
738

, . . ,
.



-
, .
,


, (0 1)
.
,
., .

,

( ).
,

.
,
,
() .

,
.

,
, ().
,

.

- ,
.

,
.
,
,
() , ,
.
739


, .


, .
"---",



"-",
( 1).

( ),
.


(Unit Delay)
,
.
, , . .
.


.

,
.

,
.

,
() .
,

.
,
.
,
, -,
740

. ,
, .


,
, .
.


(PPI, Parallel Peripheral Interface)
,
.

. -
, L- .

,
.

" ",
.

,

( ).

, . . ,

.
.
/ ,

.
/ - ,
(),

.
(
/).
,

.
741

,
, , . .
.


(Test Access Port) ( )
JTAG /.



EEPROM Flash
,
.
( )


.
,
(,
.).
(PLA, Programmable Logic Array)
,

.


.
(PAL, Programmable Array Logic)
,
,

.

.
(In System Programmable) /


, . . .
(Programmable Interval Timer)
, , ,

.
(Programmable Interrupt Controller)
,
.

.
(Programmable Communication Interface)
,
, .
, ,
.
742

" " /
, ,
.


,

()


.
, ,
, ,

.



,
,
.


,

,
.
,
.

.
,
, ,
() .

,
.
- , ,
,
.

()

.
(-,
EPROM, Electrically Programmable Read-Only Memory)
743

,

.


(-, EEPROM,
Electrically Erasable Programmable Read-Only Memory)
,


,
.



"" ()
.

.
,

FPGA,
, . . ,

.
.

,

().
, ,
,

. ,
.
()
.


( ,
).

, .


.
,
,
.
744



/. "" ,
,


, .
,
()
.
,



( - ,
). ,

.
()

() ,
, .

,
. ,
.
"" ,

,

. .

(SRAM) ,
.
.
,

, ,
.
,
,
(),

( ).
,
, .
,
" ".
745

,
, ,
.


, (
) ,


, . .
.
,
.
( ,


) , .
,
(
) .



(LUT, Look-Up Table)

/,
.
, -
,

.

, . . (

).

,
.
"",

.
(0, 1 "")
- .
,

.
,
.
- D, ""
.
746

,

.


, ,
'


() .
.
, ,
()
.
.


D ,
, ,
.
JK ,
, .

RS , .
- ,

(
) (
).

() .
- ,

( ).

- (Mirror-bit Memory) -


.
- (Boot-Block Flash Memory)
- ,
747


.
- (Flash-File Memory) -


,
,
.
,

.


,

.
,
,

.
,

.
,
,
.

,
.

-
.
, .

()
(, .),
. ,

,


,
( 2-, 2-
748

). " "
( ) / .



(Boundary Scan Cells)
/,
JTAG.


(
), .

AGP Advanced Graphics Port .


AHDL Altera Hardware Description Languages
Altera.

ASCII American Standard Code for Information Interchange


.
ASICs Application Specific Integrated Circuits ,


( ).
AVR Atmel.
Back Annotation ,

,
,
( ,
).

BEDORAM Burst Extended Data Out RAM ,


EDORAM
, .
BIST Built In Self Test ,
.
BSCs Boundary Scan Cells . .
749

BST Boundary Scan Testing . .


Bus , .
CAD Computer Aided Designer


,
.


.
CD Coder .
CDR Clock-Data Recovery
.
CDRAM Cached DRAM


, .
CISC Complex Instruction Set Computer ,
.
Clock Boost , ,
PLL.

Clock Lock ,
, PLL.

Clock Skew
, .
Clock Tree
(Clock Skew).

CPLD Complex PLD / ,


PAL GAL,
.
.

CPU Central Processor Unit .


CRU Carry Unit .
CSoC Configurable System on Chip "

".
DC Decoder .
DCM Digital Clock Manager
.

DDR Double Data Rate .


DIMM Dual In Line Memory Module
.
DLL Delay Locked Loop .
DMA - D irect Memory Access .
750

DMUX Demultiplexer .
DRAM Dynamic RAM .
DRC Design Rule Check


DRDRAM Direct RDRAM
RDRAM, RDRAM
().
DSP Digital Signal Processing .
Embedded Array Block /


.
EDA Electronic Design Automation
.
EDIF Electronic Design Interchange Format
.

VHLD Verilog HDL
. EDIF


EDIF.
EDORAM Extended Data Out RAM
.

EEPROM Electrically Erasable Read Only Memory


.
EPROM Electrically Programmable Read Only Memory

.
EPROM-OTP
-.
ESB Embedded System Block

/ .
FACM Full Associative Cache Memory
.
FCRAM Fast Cycle Random-Access Memory
.

FIFO First-In First-Out


"" ( " ").
Firm- soft-
.
751

FLOTOX Floating GateThin Oxide


.
FPGA Field Programmable Gate Array /,


,
,
. .


FPM Fast Page Mode . .
FRAM Ferroelectric RAM .
GA Gate Array ( ).
GRM General Routing Matrix .


Hard- ,
,
.
Hit "" -,
.

HLD Hardware Description Language .
HSTL High Speed Transceiver Logic .

12 - Inter-Inter Computer

.
IP Intellectual Property , ,

(soft-)
.
ISP In-System Programmable . .

JEDEC Joint Electronic Device Engineering Council


,
,
JEDEC.

JTAG Joint Test Action Group


, /

JTAG-.

LIFO Last-In Fist-Out


( " ").
LPGA Laser-Programmable Gate Array
.
LUT Look Up Table . .
752

LVCMOS Low Volt CMOS


-.
LVDS Low Volt Differential Signaling
.


LVPECL Low Volt Positive ECL
- .


LVTL Low Volt Transistor Logic
.
MDAC Multiplying Digital-Analog Converter
.
MDRAM Multibank DRAM ,



(),
.
MIPS (1) Mega Instructions Per Second ,
; (2) Microprocessor without Interlocked Pipe
line Stages , , ,

" ".
MLC Multilevel Cell ,

.
MPGA Mask-Programmable Gate Array
.

MRAM Magnetic RAM ,


.
MUX Multiplexer .

Net List ,
.
NoBL No Bus Latency
.

Node .
NtRAM No Turnaround RAM
.
NvSRAM Non-Volatile SRAM

.
OUM Ovonics Unified Memory Ovonics,

.
753

OTP One-Time Programmable " ",


-,

.


PAL Programmable Array Logic . .
PCI Peripheral Component Interconnect
.
PFRAM Polimeric FRAM .
PIC Programmable Interruption Controller


.
PIP Programmable Interconnect Point .
PLA Programmable Logic Array . .
PLD Programmable Logic Device PAL
PLA.

PLL Phase Locked Loop
, ,


.
PREP Programmable Electronics Performance Corporation
,

/ .
PSOC Programmable System On Chip
.

Pull-down Resistor "" ,


.
Pull-up Resistor "" ,
.

RAM Random-Access Memory (


).
RDRAM Rambus DRAM ,
Rambus.

RISC Reduced Instruction Set Computer


.
RLDRAM Reduced Latency DRAM
.
754

ROM Read-Only Memory (


).
SDRAM Synchronous DRAM
,


,
, .
.


SERDES Serialiser-Deserialiser
, .
SIMM Single In-line Memory Module
.


SOC System On Chip / ,
, . . ,
.
SOI Silicon On Insulator ,
, ,
, .

SOPC System On Progammable Chip /
,

, . . ,
.
SPI Serial Peripheral Interconnect

.
SPLD Simple Programmable Logic Device
.

SRAM Static RAM .


SSTL Stub Series Terminated Logic ,
.
StrataFlash -


.
Test Access Port . .
Terminator ,

.
UART Universal Asynchronous Receiver Transmitter
,
.
755

Verilog HDL Cadence.


VHDL
.


VHDL Very-High-Speed Hardware Description Language
, IEEE, -,
.


VLIW Very Long Instruction Word ,
() .
WDT Watchdog Timer .
- /


Xilinx.
ZBT Zero Bus Turnaround
.






()


(ADC) -
() /
,
-

(LSI)
,

(GA)



-8
(SOP)
(DRAM)





757


(LAB)


(CMOS) -
-7


(DMA)

(LB)
()


/ ()
-


(LE)



(LSI)
()

"---"
(MOS) "--
()


(MCS)

-



(RAM)
()
758



(DMA)
(ROM)


(PIT)
(PIC)

(PLD)
(PLA)

(PLD)

(PAL)
(PIA)
(PPI)

(FPGA)
(PROM)
(BS)

(PCI)
() ( JTAG)
(PIP)



- -
(EPROM)
759

-
(EEPROM)


(VLSI)


(MSI)
(SRAM)

(ASIC)
(CPLD)

(SSI)
- (IP)

(CLK)

(TTL) -

(TTLS)
(UART)
/

(CW)
- -
(USART)

760


(DAC)


(DSP)



()
(DB)
()




(ECL) -




1. . 6 .: . / .
. .: . ., 1990.


2. . . . 3- ., .
. - .: , 2002. - 448 .
3. . ., . . . .:
, 1990. 496 .
4. . . AlteraHDL.

. .: , 2001. 224 .
5. . ., . ., . .

Altera. .: , 1997. 142 .
6. . VHDL: .
. . . / . . . . .: , 1992.
7. ., . ., . ., . .

/. .: ,
1992. - 224 .
8. . . VHDL. .: -, 1999. 200 .

9. . .
. - 2- ., . - .: , 2000. - 240 .
10. . ., . .
. .: , 2002. 400 .

11. . ., . . : . .:
, 1999. - 395 .
12. . ., . ., . .
. 3- . .: , 1990. 415 .

13. : . /. . ,
. . , . . ./ . . . , . . -
. .: , 1993. 292 .
14. . . Z86 ZILOG.
.: , 1999. - 96 .
762

15. . J1.
. .: , 1983. 208 .
16. . ., . X., . .
. .: -,


2002. - 608 .
17. . ., . ., . .


: . . .: .
, .1990. 78 .
18. . . IBM PC: . 2- .
.: , 2003. 928 .
19. . .


. .: , 1988. 304 .
20. . . AVR Classic
Atmel. .: -1, 2002. 288 .
21. . ., . .
. .: , 1988. 304 .

22. ., ., . :
. . .: , 1988. 309 .

23. . ., . . Xilinx:
. .: -1, 2000. 240 .
24. . .

: . .: , 1994. 216 .
25. . ., . ., . .
. . .

: . .: , 1994. 248 .
26. 1533, 1554: : 2- /. .
, . . , . . , . . . .: .
1993.- 496 .

27. : . / . .
. . . .: , 2002. 935 .
28.
: : 2- ./. . , . . ,

. . ./ . . . . .: ,
1988. - . 1 - 368 . . 2 - 368 .
29. , : . 2- ./. .
, -. . , -. . . .: -,
1996. - 384 .
763

30. . . . .: , 2001.
379 .
31. . ., . . :


. . " , ,
". .: , 1990. 335 .


32. : II .: , -
./ . . . . . 7:
/. . , . . , . . ,
. . . .: , 1989. 160 .
33. . . . .:


, 1988. 320 .
34. . : 2- . .
. .: , 2001. .1 415 ., .2 487 .
35. : /. .
, . . , . . ./ . . . ,
. . . .: , 1994. 232 .

36. - -
/. . , . . , . . , . . .

.: , 1998. 158 .
37. . . : .
. .: , 2001. 544 .

38. . ., . . : .
. .: , 1996. 885 .
39. . . OrCad.

.: -, 2000. 160 .
40. . .
DesignLab 8.0. .: -, 2000. 698 .
41. . ., . .

. .: , 1998. 270 .
42. .. ALTERA:
. .: , 2000. 128 .
43. . ., . .

VHDL. - .: -, 2003. - 576 .: .


44. ., . :
: . . .: , 1982. 512 .
45. . . : .
. .: , 1987. 318 .
764

46. ., . : . 6- .
.: , 2001. - 830 .
47. : /. . ,


. . , . . . .: , 1994. 240 .
48. . . .


. .: , 2000. 780 .
49. . . : . 2-
. : , ., 1989. 352 .
50. . ., . .
. .: , 1989. 282 .


51. Asheden P. J. The designer's guide to VHDL. San Francisco: Morgan
Kaufman Publishers. 1996. 688 p.
52. Bostock G. Programmable Logic Devices. N-Y.: McGraw Hill, 1988.
243 p.
53. Brey B. The 8085A Microprocessor: Software, Programming and Architec

ture. Prentice-Hall, Englewood Cliffs. N. J., 1986. 220 p.
54. Bursky D. Embedded Logic And Memory Find A Home In FPGA//

Electronic Design. 1999. 14. pp. 4356.
55. Bursky D. High-Density FPGA Family Delivers Megagate Capacity//
Electronic Design. 1997. 25. - pp. 6770.
56. Bursky D. Programmable Logic Challenges Traditional ASIC SoC Designs//

Electronic Design. 2002. April 15.


57. Chang D., Mazek-Sadowska M. Dinamically Reconfigurable FPGA//IEEE
Transaction on Computers. 1999. 6. pp. 565578.

58. Dipert B. Third Annual Programmable-Logic Directory. Elecgtronic Design


News, September 5. 2002. pp. 4364.
59. Gajsky D. Principles of Digital Design. Prentice Hall, New Jersey, 1997.
447 p.

60. Kang S., Lebelevici Y. CMOS Digital Integrated Circuits. Analysis and De
sign. Boston, McGrow-Hill, 1999.
61. Kresta D., Johnson T. High-Level Design Methodology Comes Into Its
Own//Electronic Design. 1999. 12. pp. 5760.

62. Manni V. Best of Both Worlds in Parallel Digital Adders//IEEE Circuits &
Devices. Vol. 18, 2002, 5. pp. 2023.
63. Oshima Y., Sheu B., Jen S. High-Speed Memory Architectures For Multime
dia Applications//IEEE Circuits & Devices. Vol. 13, 1. Jan.
1997. - pp. 8-13.
765

64. Perry D. L. VHDL: Programming by Example. Fourth Edition. McGraw-


Hill, 2002. - 497 p.


65. Rabaey J. M. Digital Integrated Circuits: A Design Perspective. Prentice
Hall. 1997. - 734 p.
66. Short K. Microprocessors And Programmed Logic. 2-nd Ed. Englewuod


Cliffs: Prentice-Hall, 1987. 515 p.
67. Takai Y., Nagase ., Kitamura M. a. o. 250 Mbyte/s Synchronous DRAM
Using a 3-Stage-Pipelined Architecture,//!EEE Journal of Solid-State Cir
cuits. Vol. 29. 4. April 1994. pp. 426429.


-
,

.




766

, 15
( , ,
). ,


,
- .

, . . , ,


(
).

, ,

, .


: Electronic Design (USA) Electronic Design News
( ) Chip News, -
(, , ), , ,
, , BYTE-.
- , ,
,
.






1 D
1T-SRAM 313 DCM 608
DDR LVDS 50
DDR SDRAM 320
Design Flow 640


DesignLab 658, 660
Active Interconnect Technology 609
Development Board 661
AGP 46
DIMM 334
598
DLL 184, 186, 188
AMBA 598
DMA 450
AMI 626
DRAM 233, 303
ANSI 45
DRDRAM 325

APB 598
Aplac 658
ASB 598 E

ASICs 645
EABs 571, 578
ASSP 643
ECL 47
ECU 610
Editor of FSM 68?

EDORAM 315
BEDORAM 316 EDRAM 329
BIOS 277 EEPROM 233, 537
BSC 472 E1A45

BST 472 Electronics Workbench 658


End-Front Design 581
EPROM 231
EPROM-OTP 537
CAB 589 ESB 600

CDR 188 ESP 609


CDRAM 329 Evaluation Board 661
Charge Pump 187 Excalibur 619
Clock Boost 184
Clock Lock 184 F

Clock Managers 184


Clock Skew 184, 186 FACM 248
CoCentric SystemC Compiler 666 FCRAM 332
CoreConnect 598 FLASH 233, 537
CPLD 494, 533, 543 FLEX 533
CSOC 592, 617 FPAA 589
CTT 46 FPAD 589
768

FPGA 494, 533, 553, 612 MAX+Plus II 692


FPGA- 542 MDAC 586
FPM 314, 315 MDRAM 316
FPM DRAM 315 Microblaze 607


FPSLIC 592, 611, 616 Micro-Cap 658
FRAM 236, 335 M-LVDS 46, 48
FT! 571 ModelSim SE 5.7b 692


MPGA 533, 645
MRAM 236, 338
G
GA 494, 520 N
G A/SC I 647
GAL 507 NoBL 298


GPSS 654 NtRAM 298
GRM 605 NV-SRAM 301
GTL+ 46

H One Hot 693
OPB 598

HDL 667
HSTL 46 OrCAD 655
OUM 236, 340

I
P
Idle 490
IEEE 45, 674 PAL 77, 494, 507
IOB 544 P-CAD 655

IOE 601 PCI 45


PC1-X 45
ISP 537, 628
PC-LOGS 660
PECL 47

L PFRAM 236, 337


PIA 543
LAB 600 PIO 617
LI 600 PIP 538
LPGA 645 Pipelined Burst SRAM 298

LUTs 77, 554 PLA 77, 494


LVCMOS 45 PLB 598
LVDM 48 PLD 494, 517
LVDS 45, 46, 48 PLL 184, 186, 188
LVDT 48 Power Down 490, 540
LVPECL 45

PREP 632
LVTL 45 PROM 231, 259
Protel 655
Prototype Plate 661
M PSoC 584, 591
MathCAD 654 Pull-down Resistors 24
MATLAB 654 Pull-up Resistors 24
769

SSTL-3 46
R Standby Power 540
RC- 54 Starter Kit 661
RDRAM 318, 324 State Machins 668


RIMM 335 Synchronous Burst SRAM 298
RLDRAM 331
ROM(M) 231, 256


RS-422 46
T
RS-485 46 Test-Bench 671
RTL 679 T1A 45
RC- 55
TriMatrix 602

s u


SDRAM 46, 318
UART 424, 433
SERDES 610
SignalTap Logic Analysis 673 US ART 424
SIMM 334
Simula 654

SI MU LIN 654
Singie-gate Logic 70 VersaRing 606
SLC 77, 554

SOI 540
SOP 600
w
SOPC 494. 533, 591 Waveform Editor 673
Spice 654
SPLD 494

SRAM 233, 291 z


SSTL-2 46
ZBT 298

770


582, 583
: :
169 162


222 162
169 -
169, 171, 173 () 129


:
175 346
346
"1 N" 177 346
() 73, 143, 167, 168 235
168 692


170
169, 172
168, 169

: :
(UART) 424, 433 8
(USART) 424 8

357 8
355
354 () 494, 520, 645

355 526
355 () 522
525
489

488 522
355 525
355 523
355 520

354 523
355 523
. 135
114, 129 () 522
: 528

9
9 () 522
520
9 MPGA 533
9 526

9 521
9 () 522
581 526
582 526
526
582 () 523
771

5, 69 :
/: FIFO 52, 235, 246
520 LIFO 235


CPLD 533, 543 403
/ 551 139


546 () 141
"1 N" 139
545 314
549
543
544


FLEX 533 /
FPGA 533, 553 356
VersaRing 567 78
() 494, 520
563 106
/ 559 235, 244
39, 40

554 :
149
561 683

9
556, 557 157
554, 557 :
231

554 230, 685


567 230
230
Actel 561


Xilinx 563

:
() 561 56
SOPC 533, 593

521 224
335 () 226
: 58
CDR 52
CRU 52 339

DES 52 472
SERDES 51 685
131
:
271
271

- 88, 440
420 91
772

135 309
: BEDORAM 316
81 CDRAM 329


83 DDR SDRAM 320
81 EDORAM 315
83 FCRAM 332


81 FPM 314
MDRAM 316
() 78, 495 RDRAM 324
39 RLDRAM 331
163 SDRAM 318
163 - 307


CAS
RAS 317
() 228
: () 228
29 228
233. 313
231
3


: 318
252

53
55 317
55 236
RC- 54 ROM(M) 231, 256
144 255

86 234
( ) 227 234
Boot Block Flash Memory 271 234
Flash-File Memory 271 () 231

234 237
235, 248 243
228 2D 237
317 2DM 241
317 3D 238

317 FIFO 246


229 228
255 -
233, 234, 303 236
333 286

() 231
313 308
311
"- () 303
-" 311
307
329 253
773

235
234

297 14


:
298
298 () 61, 62


297 7
297 59
233, 234, 291 () 5
646

645
292, 293
646

645


299 643
397
298 hard- 398
NV-SRAM 301 !2 437
302 12 401
235 JTAG 471

JTAG- 475
252
253 477

255
477

474
253
BSC 473
DDR 254
475

QDR 254
(Timing) 254, 316, 317 475
234 Microbus 398, 399
EEPROM 262 Multibus 399

EPROM 262 SPI 401, 434


EPROM-OTP 266 () 399
FIFO 235, 246 -41 399
FRAM 335 416
MRAM 338 () 399

MTJ 339 398


IP 398
OUM 236, 340
soft- 398
PFRAM 337
397
PROM 259
397

261 355
QDR 254 355
ROM 256 398
235 397
236 Q-bus 399
235 VME 400
229 397
774

: 453
RS-232C 401 453
RS-485 401 453
CAN 401 451


EISA 400
ISA 400 458
MCA 400 :


PCI 400 2 105, 107, 109
USB 401 () 106, 107
VL-Bus 400
110
29 31
534 106


80
80
80
106 79
"1 N" 170, 172 80
ASCII 421 -
290

172, 213
-8 421 - 227, 247
-7 421 (L2) 252

251
110, 112 - 249, 251
(L1) 252
112 248
226 249, 251

106 249
106 248, 251
106

() 73, 167
100

101 355
101, 103 :
657 41
614

" 42
: :
350 8
449 8
351, 450
455

457
455 521, 645
453 529
455 132
455 470
453 37
775

9 / 364
() 378, 479 READY 364
489 RESET 365


491 TRAP 365
S0 S, 364
491 373


361
490 LIFO 361
490 363, 368
490 CISC 347, 481
AVR 481 482 182185 359
489 RISC 347, 481


VLIW 347
491 378
490
() 5, 345 () 345
360 380
361 354
(PC) 362 351, 371

(RA) 363 394
351
() 361 369

(SP) 361 349
- 349
362 349
369

() 367 () 348
() 363, 367 479
() 363, 368
363 78, 79

353 5, 69, 493


: -
369 132, 133
370 132
369 - 262

351 419
(IR) 362 356
(RF) 361, 376 DIMM 334
- 359 R1MM 335
INTA 365 SIMM 334

ALE 353, 364, 367 18


CLK 365 400
HLDA 365 :
HOLD 365 13
fNT 351 13
1NTA 351 13
INTR 365 - 224
776

89 Multipoint 48
63 Point-to-Point 48
88 421
90 - 422


- 422
28
79


FPGA 541 472
71 410
55 () 400
" " 123 244
41 264
103 534, 645


535
541

534, 581 539
ispPAC 584 534
538
584

-
587
537
FPAD 589
antifuse 535

:
ONO 535
228

- 227
537
227

231

() 538
227
535
227
FreeRam 614 Power Down 540
Standby Power 540

() 410 - 540
417 63
411 439
: 439
421 335

421 404
423 167
422
423 200
423 :

421 442
() 422 441
441
423 442
48, 421 442
Multidrop 48 86
777

:
HDL Designer 669, 687 419, 424
LeonardoSpectrum 695 430
StateCAD Version 3.2 669, 709



Synplify 695 433
SystemVision 695 428
261


- 358
650
/ 392
() 494 637
1556 512 -
507 660
PAL 22VI0 516


640
663
351, 459
467 664
466 652
671
470, 471
640

469
671
470
670
469
-


638
468
- 657
468
650
463
658
- 0 460

649
- 1 461
639
54 464

467
671


439, 440 653
442 640
442 657
445 653
443 671

SOPC 662
() 494 672
497 639

503 655

- 499
504 705
501 657
497 653
664
(FPGA) 553 ( . . 778)
778

():
:
666 218


667 194
667 - 194
666, 667 () 194


657 194
668 194
- 668 197
661 198
638 197
670 197



641 223, 226
198
670 :
638 " " 9
663 64
672 "" 9

657 :
"" 24
641 "" 24

638 73
74
670 73
667

668
667
50
358, 450 169

450 2, 6, 640, 652


450 654
5, 69
223 534
firm- 593

hard- 593, 594, 595


594, 596
: 597
214 soft- 593, 594
214, 215 596

214 IP 593
195 593
194 629
PISO 194
SIPO 52, 194 631
403
194 630
779

ESP 609
630 615
629 FPGA


623, 624, 625 612, 614
626 600
EPSL1C 611,


627 616, 617
- Excalibur 619, 620
627 QuickDSP 610
QuickPC 610
627 QuickPCI 609
QuickRAM 609
628


QuickSD 610
628 622
627 593, 594
HardCopy 625 596

724 620
634 - 608

635 APEX 20, APEX II
632 598
PR 632 Eclipse 608

632 Stratix 601
633 Virtex 604
629 592,
598 617
726

632
103
569 2, 107
/ 580 :

Barrel Shifter (
570, 578 "1 N") 139, 140
570 76, 77
:
576 222
571 294

572 226
569 226
9
571 112
637

725
634 164
- 593 ' :
SOPC 591 192. 193
594, 609 194
609 188, 189
610 190
780

202
179 210
5, 69, 493 201


222
288 204
182 202


DLL 184 206
PLL 184 208
209
: 212
32 210
36


31 205
IEEE 1149.1 472
361 207
324
114
124

127 :

114 76
76

119 :
180
118 183
117 235
495

122 32
127 78
: :

"" 135 DDR 50, 254


188 SDR 50, 254
107 302
Weak pin-keeper 24, 25 26
135 179

201 :
204 264
202 264
"1 N" 214 265
265

215 FLOTOX 264, 265


213, 214 143
- 211 162
202 145
218, 220 147
147
208 146, 156
781

148 - 269

152 (CUI) 282


148 MLC 283
144 284
145


153 277
153
146, 158 280
-
146, 155 - 271
145 Concurrent Flash


148 Memory 277
145 StrataFlash 283
274
147 - 275
148 280
149

145

55
145

D 145, 149, 152, 154, 160
507

JK 145, 151, 159
PLD 517
RS 144
:
152
73
152
73
145, 149

:
- 146
120
145
120
146

144
148 X
39
10 340
339


86, 88 143

91 () 586
7 () 5
179


182

26 400
782

:

61
349 58
598


598
AS 598

CSI 617


:
598 ABEL 667
PLB 598
AHDL 667
SPI 286
ED1F 667
:
PLDASM 667
598
SystemC 666
CoreConnect 598


401 Verilog 668
VHDL 668, 673, 674
85 679
85 677
87 675

680

676
() 167

2 62 678
:
104 674
() 677
17-19


() 18, 19
680
()
VHDL-AMS 674, 695
15, 16
HDL 529
22

80
13
53
472

Вам также может понравиться